• Title/Summary/Keyword: Wet Etching Bath

Search Result 2, Processing Time 0.013 seconds

Design of Single-wafer Wet Etching Bath for Silicon Wafer Etching (실리콘 웨이퍼 습식 식각장치 설계 및 공정개발)

  • Kim, Jae Hwan;Lee, Yongil;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.19 no.2
    • /
    • pp.77-81
    • /
    • 2020
  • Silicon wafer etching in micro electro mechanical systems (MEMS) fabrication is challenging to form 3-D structures. Well known Si-wet etch of silicon employs potassium hydroxide (KOH), tetramethylammonium hydroxide (TMAH) and sodium hydroxide (NaOH). However, the existing silicon wet etching process has a fatal disadvantage that etching of the back side of the wafer is hard to avoid. In this study, a wet etching bath for 150 mm wafers was designed to prevent back-side etching of silicon wafer, and we demonstrated the optimized process recipe to have anisotropic wet etching of silicon wafer without any damage on the backside. We also presented the design of wet bath for 300 mm wafer processing as a promising process development.

Manufacturing Large-scale SiNx EUV Pellicle with Water Bath (물중탕을 이용한 대면적 SiNx EUV 펠리클 제작)

  • Kim, Jung Hwan;Hong, Seongchul;Cho, Hanku;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.17-21
    • /
    • 2016
  • EUV (Extreme Ultraviolet) pellicle which protects a mask from contamination became a critical issue for the application of EUV lithography to high-volume manufacturing. However, researches of EUV pellicle are still delayed due to no typical manufacturing methods for large-scale EUV pellicle. In this study, EUV pellicle membrane manufacturing method using not only KOH (potassium hydroxide) wet etching process but also a water bath was suggested for uniform etchant temperature distribution. KOH wet etching rates according to KOH solution concentration and solution temperature were confirmed and proper etch condition was selected. After KOH wet etching condition was set, $5cm{\times}5cm$ SiNx (silicon nitride) pellicle membrane with 80% EUV transmittance was successfully manufactured. Transmittance results showed the feasibility of wet etching method with water bath as a large-scale EUV pellicle manufacturing method.