• Title/Summary/Keyword: W-beam

Search Result 1,046, Processing Time 0.031 seconds

A Monochromatic X-Ray CT Using a CdTe Array Detector with Variable Spatial Resolution

  • Tokumori, Kenji;Toyofuku, Fukai;Kanda, Shigenobu;Ohki, Masafumi;Higashida, Yoshiharu;Hyodo, Kazuyuki;Ando, Masami;Uyama, Chikao
    • Proceedings of the Korean Society of Medical Physics Conference
    • /
    • 2002.09a
    • /
    • pp.411-414
    • /
    • 2002
  • The CdTe semiconductor detector has a higher detection efficiency for x-rays and $\square$amma rays and a wider energy band gap compared with Si and Ge semiconductor detectors. Therefore, the size of the detector element can be made small, and can be operated at room temperature. The interaction between a CdTe detector and incident x-rays is mainly photoelectric absorption in the photon energy range of up to 100 keV. In this energy range, Compton effects are almost negligible. We have developed a 256 channel CdTe array detector system for monochromatic x-ray CT using synchrotron radiation. The CdTe array detector system, the element size of which is 1.98 mm (h) x 1.98 mm (w) x 0.5 mm (t), was operated in photon counting mode. In order to improve the spatial resolution, we tilted the CdTe array detector against the incident parallel monochromatic x-ray beam. The experiments were performed at the BL20B2 experimental hutch in SPring-8. The energy of incident monochromatic x-rays was set at 55 keV. Phantom measurements were performed at the detector angle of 0, 30 and 45 degrees against the incident parallel monochromatic x-rays. The linear attenuation coefficients were calculated from the reconstructed CT images. By increasing the detector angle, the spatial resolutions were improved. There was no significant difference between the linear attenuation coefficients which were corrected by the detector angle. It was found that this method was useful for improving the spatial resolution in a parallel monochromatic x-ray CT system.

  • PDF

Laser-induced chemical vapor deposition of tungsten micro patterns for TFT-LCD circuit repair (레이저 국소증착을 이용한 TFT-LCD회로 수정5 미세 텅스텐 패턴 제조)

  • Park Jong-Bok;Kim Chang-Jae;Park Sang-Hyuck;Shin Pyung-Eun;Kang Hyoung-Shik;Jeong Sung-Ho
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.22 no.8 s.173
    • /
    • pp.165-173
    • /
    • 2005
  • This paper presents the results for deposition of micrometer-scale metal lines on glass for the development of TFT-LCD circuit repair-system. Although there had been a few studies in the late 1980's for the deposition of metallic interconnects by laser-induced chemical vapor deposition, those studies mostly used continuous wave lasers. In this work, a third harmonic Nd:YLF laser (351nm) of high repetition rates, up to 10 KHz, was used as the illumination source and W(CO)s was selected as the precursor. General characteristics of the metal deposit (tungsten) such as height, width, morphology as well as electrical properties were examined for various process conditions. Height of the deposited tungsten lines ranged from 35 to 500 m depending on laser power and scan speed while the width was controlled between 50um using a slit placed in the beam path. The resistivity of the deposited tungsten lines was measured to be below $1{\Omega}{\cdotu}um$, which is an acceptable value according to the manufacturing standard. The tungsten lines produced at high scan speed had good surface morphology with little particles around the patterns. Experimental results demonstrated that it is likely that the deposit forms through a hybrid process, namely through the combination of photolytic and pyrolytic mechanisms.

Electron Accelerator Shielding Design of KIPT Neutron Source Facility

  • Zhong, Zhaopeng;Gohar, Yousry
    • Nuclear Engineering and Technology
    • /
    • v.48 no.3
    • /
    • pp.785-794
    • /
    • 2016
  • The Argonne National Laboratory of the United States and the Kharkov Institute of Physics and Technology of the Ukraine have been collaborating on the design, development and construction of a neutron source facility at Kharkov Institute of Physics and Technology utilizing an electron-accelerator-driven subcritical assembly. The electron beam power is 100 kW using 100-MeV electrons. The facility was designed to perform basic and applied nuclear research, produce medical isotopes, and train nuclear specialists. The biological shield of the accelerator building was designed to reduce the biological dose to less than 5.0e-03 mSv/h during operation. The main source of the biological dose for the accelerator building is the photons and neutrons generated from different interactions of leaked electrons from the electron gun and the accelerator sections with the surrounding components and materials. The Monte Carlo N-particle extended code (MCNPX) was used for the shielding calculations because of its capability to perform electron-, photon-, and neutron-coupled transport simulations. The photon dose was tallied using the MCNPX calculation, starting with the leaked electrons. However, it is difficult to accurately tally the neutron dose directly from the leaked electrons. The neutron yield per electron from the interactions with the surrounding components is very small, ~0.01 neutron for 100-MeV electron and even smaller for lower-energy electrons. This causes difficulties for the Monte Carlo analyses and consumes tremendous computation resources for tallying the neutron dose outside the shield boundary with an acceptable accuracy. To avoid these difficulties, the SOURCE and TALLYX user subroutines of MCNPX were utilized for this study. The generated neutrons were banked, together with all related parameters, for a subsequent MCNPX calculation to obtain the neutron dose. The weight windows variance reduction technique was also utilized for both neutron and photon dose calculations. Two shielding materials, heavy concrete and ordinary concrete, were considered for the shield design. The main goal is to maintain the total dose outside the shield boundary less than 5.0e-03 mSv/h during operation. The shield configuration and parameters of the accelerator building were determined and are presented in this paper.

X-ray Induced Electron emission Spectroscopy

  • 송세안;이재철;최진학;김준홍;이재학;임창빈
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.191-191
    • /
    • 1999
  • Extended X-ray Absorption Fine Structure (EXAFS)는 일반적으로 널리 사용하는 X선 회절분광기로 분석하기 힘든 chemical 또는 biological system의 structural analyses에 매우 유용한 분석방법이다. 특히 세라믹이나 유전체 비정질 재료의 미세 원자 구조에 관한 정보를 얻는데는 가장 강력한 분석방법중의 하나로 알려져 있다. 현재까지 대부분의 EXAFS 실험은 방사광 가속기를 이용하여 수행하였다. 그런데 신제품 개발의 순환주기가 급속하게 단축되는 현실적인 문제에 부응하기 위하여 실험실에서 EXAFS 실험을 수행할 수 있는 system을 개발하게 되었다. 개발한 XIEES 장비는 rotating anode 형의 18kW X-ray source, Optical system, Detection system, Stepping motor control system, vacuum system, Utility 등으로 구성하였다. Optical system에서의 6개의 Johanson type monochromator를 사용하여 분석가능한 x-ray energy range를 480eV에서 41keV까지 구현하였다. 이는 산소에서 우라늄까지 분석이 가능함을 의미하는 것으로, 산화물 연구에 많이 활용할 것으로 기대한다. XIEES는 투과 및 형광 X-ray를 검출할 수 있는 기능과 X-ray에 의해 여기 되는 모든(광전자, Aiger 전자, 이차전자)들을 검출할 수 있는 기능을 갖추고 있는데 이를 Total Electron Yield 측정이라고 한다. Total Electron Yield 측정은 박막 시료와 같이 투과가 되지 않는 시료를 분석할 뿐만 아니라, 경원소 분석, 낮은 에너지에서 흡수 edge가 나타나는 L-edge 측정을 통한 전자 구조 분석 등에 유용한다. 실험실용 XIEES 장비는 방사광가속기에 비해 x-ray flux가 크게 뒤지는 문제와 Total Electron Yield를 측정하는 데 있어서 source에서 나오는 x-ray beam이 진공용기 안에서 산란되어 이차전자를 여기하고 이 이차전자들이 전자검출기에 유입되어 측정에 영향을 미치는 background 문제 등이 있다. 이 두 가지 문제를 해결하기 위하여 Capillary tube를 사용하였다. 본 연구에서는 실험실용 XIEES 장비를 소개하고 이를 이용하여 Cu standard 시료에서 측정한 EXAFS 결과와 Capillary tube를 사용하여 얻은 x-ray flux 증진 및 background 제거 효과에 대해서 발표한다.

  • PDF

유도결합 $Cl_2/CHF_3, Cl_2/CH_4, Cl_2/Ar $플라즈마를 이용한 InGaN 건식 식각 반응 기구 연구

  • 이도행;김현수;염근영;이재원;김태일
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.249-249
    • /
    • 1999
  • GaN과 같은 III-nitride 반도체 관한 식각 기술의 연구는 blue-emitting laser diode(LD)를 위한 경면(mirror facet)의 형성뿐만아니라 새로운 display 용도의 light emitting diodes (LED), 고온에서 작동되는 광전소자 제조 등에도 그 중요성이 증대되고 있다. 최근에는 III-nitride 물질의 높은 식각속도와 미려하고 수직한 식각형상을 이루기 위하여 ECR(Electron Cyclotron Resonance)이나 ICP(Inductively Coupled Plasma)와 같은 고밀도 플라즈마 식각과 CAIBE(Chemically assisted ion beam etching)를 이용한 연구가 진행되고 있다. 현재 제조되어 지고 있는 LED 및 LD와 같은 광소자의 구조의 대부분은 p-GaN/AlGaN/InGaN(Q.W)/AlGaN/n-GaN 와 같은 여러 층의 형태로 이루어져 있다. 이중 InGaN는 광소자나 전자소자의 특성에 영향을 주는 가장 중요한 부분으로써 현재까지 보고된 식각연구는 undoped GaN에 대부분 집중되고 있고 이에 비해 소자 특성에 핵심을 이루는 InGaN의 식각특성에 관한 연구는 미흡한 상황이다. 본 연구에서는 고밀도 플라즈마원인 ICP 장비를 이용하여 InGaN를 식각하였고, 식각에는 Cl2/CH4, Cl2/Ar 플라즈마를 사용하였다. InGaN의 식각특성에 영향을 미치는 플라즈마의 특성을 관찰하기 위하여 quadrupole mass spectrometry(QMS)와 optical emission spectroscopy(PES)를 사용하였다. 기판 온도는 5$0^{\circ}C$, 공정 압력은 5,Torr에서 30mTorr로 변화시켰고 inductive power는 200~800watt, bias voltage는 0~-200voltage로 변화시켰으며 식각마스크로는 SiO2를 patterning 하여 사용하였다. n-GaN, p-GaN 층 이외에 광소자 제조시 필수적인 InGaN 층을 100% Cl2로 식각한 경우에 InGaN의 식각속도가 GaN에 비해 매우 낮은 식각속도를 보였다. Cl2 gas에 소량의 CH4나 Ar gas를 첨가하는 경우와 공정압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%Ar 플라즈마에서 공정 압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%CHF3 와 Cl2/10%Ar 플라즈마에서 공정압력을 15mTorr로 감소시키는 경우 InGaN과 GaNrks의 선택적인 식각이 가능하였다. InGaN의 식각속도는 Cl2/Ar 플라즈마의 이온에 의한 Cl2/CHF3(CH4) 플라즈마에서의 CHx radical 형성에 의하여 증가하는 것으로 사료되어 진다.

  • PDF

Definitive Concurrent Chemoradiotherapy in Cervical Cancer - a University of Malaya Medical Centre Experience

  • Zamaniah, W.I. Wan;Mastura, M.Y.;Phua, C.E.;Adlinda, A.;Marniza, S.;Rozita, A.M.
    • Asian Pacific Journal of Cancer Prevention
    • /
    • v.15 no.20
    • /
    • pp.8987-8992
    • /
    • 2014
  • Background: The efficacy of concurrent chemoradiotherapy in the treatment of locally advanced cervical cancer is well established. We aimed to investigate the long-term efficacy of definitive concurrent chemoradiotherapy for cervical cancer in the University of Malaya Medical Centre. Materials and Methods: A cohort of 60 patients with FIGO stage IB2-IVA cervical cancer who were treated with definitive concurrent chemoradiotherapy with cisplatin followed by intracavitary brachytherapy or external beam radiotherapy (EBRT) boost between November 2001 and May 2008 were analysed. Patients were initially treated with weekly intravenous cisplatin ($40mg/m^2$) concurrent with daily EBRT to pelvis of 45-50Gy followed by low dose rate brachytherapy or EBRT boost to tumour. Local control rate, progression free survival, overall survival and treatment related toxicities graded by the RTOG criteria were evaluated. Results: The mean age was 56. At the median follow-up of 72 months, the estimated 5-year progression-free survival (PFS) (median PFS 39 months) and the 5-year overall survival (OS) (median OS 51 months) were 48% and 50% respectively. The 5-year local control rate was 67.3%. Grade 3-4 late gastrointestinal and genitourinary toxicity occurred in 9.3% of patients. Conclusions: The 5-year PFS and the 5-year OS in this cohort were lower than in other institutions. More advanced stage at presentation, longer overall treatment time (OTT) of more than fifty-six days and lower total dose to point A were the potential factors contributing to a lower survival.

저온 플라즈마 반응기에서의 수정충돌주파수를 이용한 실리콘 나노 입자 형성 모델링

  • Kim, Yeong-Seok;Kim, Dong-Bin;Kim, Hyeong-U;Kim, Tae-Seong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.217.1-217.1
    • /
    • 2014
  • 반도체 및 디스플레이 산업은 많은 공정들에서 저온 플라즈마 반응을 이용한다. 특히 소자 제작을 위한 실리콘 박막의 증착은 저온 플라즈마 공정의 주요 공정이다. 하지만 실리콘 박막을 합성하는데 있어서 저온 플라즈마에서 형성되는 실리콘 나노 입자는, 오염입자로써 박막의 특성을 악화시켜 소자생산 수율을 악화시키는 주요 원인이 되고 있다. 따라서 플라즈마에서 입자 형성의 원인이 되는 화학반응 및 입자들의 성장 매커니즘에 대한 연구는, 1980년대 플라즈마 공정에서 입자 합성이 보고된 이래 공정의 최적화를 위해 꾸준히 연구되어왔다. 이러한 매커니즘의 연구들은, 플라즈마 화학반응에 의해 실리콘 입자 핵을 만들어 내는 과정과 입자들이 충돌에 의해 성장해가는 과정으로 나눠진다. 플라즈마 화학 반응 과정은 아레니우스 방정식에 의해 정의된 반응계수를 이용하여 플라즈마 내 전자와 이온, 중성 화학종들이 전자 온도와 전자 밀도, 챔버 온도 등에 의해 결정되는 현상을 모사한다. 또한 이 과정에서 실리콘을 포함하는 화학종들의 반응에 의해 핵이 생성 되가는 양상을 모사한다. 생성된 핵은 충돌에 의해 입자가 성장해 가는 과정의 가장 작은 입자로써 이용된다. 입자들이 성장해가는 과정은 입자들이 서로 충돌하면서 다양한 입경의 입자로 분화되어가는 현상을 모사한다. 이 과정에 의해 다양한 입경분포로 분화된 입자들은 플라즈마 내 전자에 의해 하전되며, 이러한 하전 양상은 입경에 따라 다른 분포를 보인다. 본 연구에서는 입자의 하전 분포를 고려하여, 입자들의 성장의 주요 원인인 입자간의 충돌을 대표하는 충돌주파수를 수정하는 방식을 채택하여 보다 정밀한 입자 성장 양상을 모델링하였다. Inductively coupled plasma (ICP) 타입의 저온 플라즈마 반응기에서 합성된 입자들을 Particle Beam Mass Spectrometer (PBMS)와 Scanning Electron Microscope (SEM)를 이용하여 입경분포를 측정한 데이터와 모델링에 의해 계산된 결과를 비교하여 본 모델의 유효성을 검증하였다. 검증을 위해 100~300 mtorr의 챔버 압력 조건과 100~350 W의 입력 전력 조건들을 달리하며 측정한 결과와 계산한 데이터를 조건별로 비교하였다.

  • PDF

Preparation of MgO Protective layer by reactive magnetron Sputtering (반응성 스퍼트링에 의한 MgO 유전체 보호층 형성에 관한 연구)

  • Ha, H. J.;Lee, W. G.;Ryu, J. H.;Song, Y.;Cho, J. S.;Park, C. H.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1996.05a
    • /
    • pp.59-62
    • /
    • 1996
  • Plasma displays (PDP) as a large area wall-hanging display device are rabidly developed with flat CRT, TPT LCD and etc. Especially, AC Plasma Display Panels(AC PDPs) have the inherent memory function which is effective for large area displays. The memory function in AC PDPs is caused by the accumulation of the electrical charge on the protecting layer formed on the dielectric layer. This MgO protective layer prevents the dielectric layer from sputtering by ion in discharge plasma and also has the additional important roll in lowering the firing voltage due to the large secondary electron emission coefficient). Until now, the MgO Protective layer is mainly formed by E-Beam evaporation. With increasing the panel size, this process is difficult to attain cost reduction, and are not suitable for large quantity of production. To the contrary, the methode of shuttering are easy to apply on mass production and to enlarge the size of the panel and shows the superior adhesion and uniformity of thin film. In this study, we have prepared MgO protective layer on AC PDP Cell by reactive magnetron sputtering and studied the effect of MgO layer on the surface discharge characteristics of ac PDP.

  • PDF

Vertical Neutron Reflectometer at HANARO (하나로 수직형 중성자 반사율 측정장치)

  • Lee J.S.;Lee C.H.;Hong K.P.;Choi B.H.;Choi Y.H.;Kim Y.J.;Shin K.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.14 no.3
    • /
    • pp.132-137
    • /
    • 2005
  • Neutron reflectometer has been installed at HANARO, research reactor in Korea. It has vertical sample geometry and the wavelength of incident neutron beam is $2.459\;\AA$ Neutron fluxes at monochromator and sample position were $4.5\times10^9\;n/cm^2/sec,\;6.64\times10^6\;n/cm^2/sec4 those were measured by gold wire activation method. Also, some reference thin films such as d-PS, $SiO_2$ were measured and analyzedwith HANARO neutron reflectometer. As result of the work, it was certified that minimum reflectivity and available Q range were $10^{-6},\;and\;0.003\sim0.3\;\AA^{-1}$ respectively.

중성빔 식각을 이용한 Metal Gate/High-k Dielectric CMOSFETs의 저 손상 식각공정 개발에 관한 연구

  • Min, Gyeong-Seok;O, Jong-Sik;Kim, Chan-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.287-287
    • /
    • 2011
  • ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.

  • PDF