• Title/Summary/Keyword: VLSI testing

Search Result 41, Processing Time 0.022 seconds

A Design Methodology on Signal Paths for Enhanced Signal Integrity of High-speed Communication System and a BIST Design for Backplane Boards Testing (고속 통신 시스템의 신호충실성 향상을 위한 선로 설계 방법론 및 Backplane Boards Testing를 위한 BIST 설계)

  • Jang, Jong-Gwon
    • The Transactions of the Korea Information Processing Society
    • /
    • v.7 no.4
    • /
    • pp.1263-1270
    • /
    • 2000
  • The operation frequency of High-speed Communication System becomes very fast with the advanced technology of VLSI chips and system implementation. There may exist various types of noise sources degrading the signal integrity in this system. The present main system is made of backplane, so faults can be brought whenever a board is removed, replaced or added. This backplane boards testing is a very important process to verify the operation of system. firstly, we model the effects of the internal noises in the High-speed Communication System to the signal line and propose a new design method to minimize these effects. For the design methodology, we derive the characterization value for each mode land them construct the optimal simulation model. We compare the result of own proposing method with that fo the existing methods, through simulation and show that the quality of High-speed Communication System is significantly enhanced. Secondary our proposing BIST for the Backplane Boards Testing is designed to guarantee that there is no fault in the high-speed communication system.

  • PDF

VLSI implementation of Usage Parameter Control Based on Cell Loss Priority (셀손실 우선순위 기반의 사용 변수제어의 VLSI 구현)

  • 권재우;조태경;최명렬
    • Proceedings of the IEEK Conference
    • /
    • 2000.11b
    • /
    • pp.196-199
    • /
    • 2000
  • In this paper has studied an enhanced usage parameter control algorithm, which is one of the preventive traffic control method in ATM networks. The proposed algorithm is based on the CLP(Cell Loss Priority) bit in the ATM cell header. This algorithm can eliminate the measurement phasing problem in cell conformance testing in ATM networks. The proposed algorithm can minimize the cell loss ratio of high priority cell(CLP = 0) and resolve the burstiness of eel]s which may be generated in the multiplexing and demultiplexing procedure. For the performance evaluation, we have simulated the proposed algorithm with discrete time input traffic model and the results show that the performance of the proposed algorithm is better than that of ITU-T usage parameter control algorithm.

  • PDF

A VLSI Architecture of Systolic Array for FET Computation (고속 퓨리어 변환 연산용 VLSI 시스토릭 어레이 아키텍춰)

  • 신경욱;최병윤;이문기
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.25 no.9
    • /
    • pp.1115-1124
    • /
    • 1988
  • A two-dimensional systolic array for fast Fourier transform, which has a regular and recursive VLSI architecture is presented. The array is constructed with identical processing elements (PE) in mesh type, and due to its modularity, it can be expanded to an arbitrary size. A processing element consists of two data routing units, a butterfly arithmetic unit and a simple control unit. The array computes FFT through three procedures` I/O pipelining, data shuffling and butterfly arithmetic. By utilizing parallelism, pipelining and local communication geometry during data movement, the two-dimensional systolic array eliminates global and irregular commutation problems, which have been a limiting factor in VLSI implementation of FFT processor. The systolic array executes a half butterfly arithmetic based on a distributed arithmetic that can carry out multiplication with only adders. Also, the systolic array provides 100% PE activity, i.e., none of the PEs are idle at any time. A chip for half butterfly arithmetic, which consists of two BLC adders and registers, has been fabricated using a 3-um single metal P-well CMOS technology. With the half butterfly arithmetic execution time of about 500 ns which has been obtained b critical path delay simulation, totla FFT execution time for 1024 points is estimated about 16.6 us at clock frequency of 20MHz. A one-PE chip expnsible to anly size of array is being fabricated using a 2-um, double metal, P-well CMOS process. The chip was layouted using standard cell library and macrocell of BLC adder with the aid of auto-routing software. It consists of around 6000 transistors and 68 I/O pads on 3.4x2.8mm\ulcornerarea. A built-i self-testing circuit, BILBO (Built-In Logic Block Observation), was employed at the expense of 3% hardware overhead.

  • PDF

Design of a Built-In Current Sensor for CMOS IC Testing (CMOS 집적회로의 테스팅을 위한 새로운 내장형 전류감지 회로의 설계)

  • Hong, Seung-Ho;Kim, Jeong-Beom
    • Proceedings of the KIEE Conference
    • /
    • 2003.11b
    • /
    • pp.271-274
    • /
    • 2003
  • This paper presents a Built-in Current Sensor that detect defects in CMOS integrated circuits using the current testing technique. This scheme employs a cross-coupled connected PMOS transistors, it is used as a current comparator. Our proposed scheme is a negligible impart on the performance of the circuit undo. test (CUT). In addition, in the normal mode of the CUT not dissipation extra power, high speed detection time and applicable deep submicron process. The validity and effectiveness are verified through the HSPICE simulation on circuits with defects. The entire area of the test chip is $116{\times}65{\mu}m^2$. The BICS occupies only $41{\times}17{\mu}m^2$ of area in the test chip. The area overhead of a BICS versus the entire chip is about 9.2%. The chip was fabricated with Hynix $0.35{\mu}m$ 2-poly 4-metal N-well CMOS technology.

  • PDF

Efficient Path Delay Test Generation for Custom Designs

  • Kang, Sung-Ho;Underwood, Bill;Law, Wai-On;Konuk, Haluk
    • ETRI Journal
    • /
    • v.23 no.3
    • /
    • pp.138-149
    • /
    • 2001
  • Due to the rapidly growing complexity of VLSI circuits, test methodologies based on delay testing become popular. However, most approaches cannot handle custom logic blocks which are described by logic functions rather than by circuit primitive elements. To overcome this problem, a new path delay test generation algorithm is developed for custom designs. The results using benchmark circuits and real designs prove the efficiency of the new algorithm. The new test generation algorithm can be applied to designs employing intellectual property (IP) circuits whose implementation details are either unknown or unavailable.

  • PDF

Improvement of Test Method for t-ws Falult Detect (t-ws 고장 검출을 위한 테스트 방법의 개선)

  • 김철운;김영민;김태성
    • Electrical & Electronic Materials
    • /
    • v.10 no.4
    • /
    • pp.349-354
    • /
    • 1997
  • This paper aims at studying the improvement of test method for t-weight sensitive fault (t-wsf) detect. The development of RAM fabrication technology results in not only the increase at device density on chips but also the decrease in line widths in VLSI. But, the chip size that was large and complex is shortened and simplified while the cost of chips remains at the present level, in many cases, even lowering. First of all, The testing patterns for RAM fault detect, which is apt to be complicated , need to be simplified. This new testing method made use of Local Lower Bound (L.L.B) which has the memory with the beginning pattern of 0(l) and the finishing pattern of 0(1). The proposed testing patterns can detect all of RAM faults which contain stuck-at faults, coupling faults. The number of operation is 6N at 1-weight sensitive fault, 9,5N at 2-weight sensitive fault, 7N at 3-weight sensitive fault, and 3N at 4-weight sensitive fault. This test techniques can reduce the number of test pattern in memory cells, saving much more time in test, This testing patterns can detect all static weight sensitive faults and pattern sensitive faults in RAM.

  • PDF

A Study on the Efficient Dynamic Memory Usage in the Path Delay Fault Simulation (經路遲延故障 시뮬레이션의 效率的인 動的 메모리 使用에 관한 硏究)

  • Kim, Kyu-Chull
    • The Transactions of the Korea Information Processing Society
    • /
    • v.5 no.11
    • /
    • pp.2989-2996
    • /
    • 1998
  • As the circuit density of VLSI grows and its performance improves, delay fault testing of VLSI becomes very important. Delay faults in a circuit can be categorized into two classes, gate delay faults and path delay faults. This paper proposed two methods in dynamic memory usage in the path delay fault simulation. The first method is similar to that used in concurrent fault simulation for stuck-at faults and the second method reduces dynamic memory usage by not inserting a fault descriptor into the fault list when its value is X. The second method, called Implicit-X method, showed superior performance in both dynamic memory usage and simulation time than the first method, called Concurrent-Simulation-Like method.

  • PDF

Design of DC-DC Buck Converter Using Micro-processor Control (마이크로프로세서 제어를 이용한 DC-DC Buck Converter 설계)

  • Jang, In-Hyeok;Han, Ji-Hun;Lim, Hong-Woo
    • Journal of Advanced Engineering and Technology
    • /
    • v.5 no.4
    • /
    • pp.349-353
    • /
    • 2012
  • Recently, Mobile multimedia equipments as smart phone and tablet pc requirement is increasing and this market is also being expanded. These mobile equipments require large multi-media function, so more power consumption is required. For these reasons, the needs of power management IC as switching type dc-dc converter and linear regulator have increased. DC-DC buck converter become more important in power management IC because the operating voltage of VLSI system is very low comparing to lithium-ion battery voltage. There are many people to be concerned about digital DC-DC converter without using external passive device recently. Digital controlled DC-DC converter is essential in mobile application to various external circumstance. This paper proposes the DC-DC Buck Converter using the AVR RISC 8-bit micro-processor control. The designed converter receives the input DC 18-30 [V] and the output voltage of DC-DC Converter changes by the feedback circuit using the A/D conversion function. Duty ratio is adjusted to maintain a constant output voltage 12 [V]. Proposed converter using the micro-processor control was compared to a typical boost converter. As a result, the current loss in the proposed converter was reduced about 10.7%. Input voltage and output voltage can be displayed on the LCD display to see the status of the operation.

Design of a Built-In Current Sensor for CMOS IC Testing (CMOS 집적회로 테스팅을 위한 내장형 전류 감지 회로 설계)

  • Kim, Tae-Sang;Hong, Seung-Ho;Kwak, Chul-Ho;Kim, Jeong-Beam
    • Journal of IKEEE
    • /
    • v.9 no.1 s.16
    • /
    • pp.57-64
    • /
    • 2005
  • This paper presents a built-in current sensor(BICS) that detects defects in CMOS integrated circuits using the current testing technique. This circuit employs a cross-coupled connected PMOS transistors, it is used as a current comparator. The proposed circuit has a negligible impact on the performance of the circuit under test (CUT) and high speed detection time. In addition, in the operation of the normal mode, the BlCS does not have dissipation of extra power, and it can be applied to the deep submicron process. The validity and effectiveness are verified through the HSPICE simulation on circuits with defects. The area overhead of a BlCS versus the entire chip is about 9.2%. The chip was fabricated with Hynix $0.35{\mu}m$ 2-poly 4-metal N-well CMOS standard technology.

  • PDF

Reducing Test Power and Improving Test Effectiveness for Logic BIST

  • Wang, Weizheng;Cai, Shuo;Xiang, Lingyun
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.5
    • /
    • pp.640-648
    • /
    • 2014
  • Excessive power dissipation is one of the major issues in the testing of VLSI systems. Many techniques are proposed for scan test, but there are not so many for logic BIST because of its unmanageable randomness. This paper presents a novel low switching activity BIST scheme that reduces toggle frequency in the majority of scan chain inputs while allowing a small portion of scan chains to receive pseudorandom test data. Reducing toggle frequency in the scan chain inputs can reduce test power but may result in fault coverage loss. Allowing a small portion of scan chains to receive pseudorandom test data can make better uniform distribution of 0 and 1 and improve test effectiveness significantly. When compared with existing methods, experimental results on larger benchmark circuits of ISCAS'89 show that the proposed strategy can not only reduce significantly switching activity in circuits under test but also achieve high fault coverage.