• Title/Summary/Keyword: Total delay

Search Result 789, Processing Time 0.025 seconds

Estimation and Prediction-Based Connection Admission Control in Broadband Satellite Systems

  • Jang, Yeong-Min
    • ETRI Journal
    • /
    • v.22 no.4
    • /
    • pp.40-50
    • /
    • 2000
  • We apply a "sliding-window" Maximum Likelihood(ML) estimator to estimate traffic parameters On-Off source and develop a method for estimating stochastic predicted individual cell arrival rates. Based on these results, we propose a simple Connection Admission Control(CAC)scheme for delay sensitive services in broadband onboard packet switching satellite systems. The algorithms are motivated by the limited onboard satellite buffer, the large propagation delay, and low computational capabilities inherent in satellite communication systems. We develop an algorithm using the predicted individual cell loss ratio instead of using steady state cell loss ratios. We demonstrate the CAC benefits of this approach over using steady state cell loss ratios as well as predicted total cell loss ratios. We also derive the predictive saturation probability and the predictive cell loss ratio and use them to control the total number of connections. Predictive congestion control mechanisms allow a satellite network to operate in the optimum region of low delay and high throughput. This is different from the traditional reactive congestion control mechanism that allows the network to recover from the congested state. Numerical and simulation results obtained suggest that the proposed predictive scheme is a promising approach for real time CAC.

  • PDF

Tropospheric Anomaly Detection in Multi-reference Stations Environment during Localized Atmosphere Conditions-(1) : Basic Concept of Anomaly Detection Algorithm

  • Yoo, Yun-Ja
    • Journal of Navigation and Port Research
    • /
    • v.40 no.5
    • /
    • pp.265-270
    • /
    • 2016
  • Extreme tropospheric anomalies such as typhoons or regional torrential rain can degrade positioning accuracy of the GPS signal. It becomes one of the main error terms affecting high-precision positioning solutions in network RTK. This paper proposed a detection algorithm to be used during atmospheric anomalies in order to detect the tropospheric irregularities that can degrade the quality of correction data due to network errors caused by inhomogeneous atmospheric conditions between multi-reference stations. It uses an atmospheric grid that consists of four meteorological stations and estimates the troposphere zenith total delay difference at a low performance point in an atmospheric grid. AWS (automatic weather station) meteorological data can be applied to the proposed tropospheric anomaly detection algorithm when there are different atmospheric conditions between the stations. The concept of probability density distribution of the delta troposphere slant delay was proposed for the threshold determination.

Time Delay Estimation Using De-Convolution (디콘볼루션을 이용한 시간지연추정)

  • Koh, Jinhwan;Lee, Heunggwan;Han, Seok Bung;Jeon, Jeong-hwan
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.41 no.12
    • /
    • pp.1692-1699
    • /
    • 2016
  • This paper deals with the problem of time delay estimation using de-convolution. Two approaches, conjugate gradient method and the total lease square method have been presented to solve the de-convolution problem. Numerical simulation demonstrates the superior performance of the proposed methods over the conventional GCC based algorithms and FIR filter method.

Assessment of Three-Phase Actuated Signal Operation at Diamond Interchanges (다이아몬드 인터체인지의 3 현시 신호운영 평가)

  • 이상수
    • Proceedings of the KOR-KST Conference
    • /
    • 2002.02a
    • /
    • pp.143-159
    • /
    • 2002
  • The performance of two single-barrier three-phase actuated control systems at diamond interchanges was evaluated for various traffic conditions. To emulate the actuated signal control, hardware-in-the-loop system combined with CORSIM simulation program was used. Two performance measures, average delay and total stops, were used for the evaluation process. Results showed that the two three-phase systems gave similar performance in terms of average delay, but not stops. The delay performance of each phasing system was generally dependent on the traffic pattern and ramp spacing. The total stops decreased as the spacing increased, and it was the most sensitive variable that can differentiate between the two three-phase systems. It was also shown that the hardware-in-the-loop control could provide a good method to overcome the limitations of current simulation technology.

  • PDF

Dispatching Rule based Job-Shop Scheduling Algorithm with Delay Schedule for Minimizing Total Tardiness (지연 스케쥴을 허용하는 납기최소화 잡샵 스케쥴링 알고리즘)

  • Kim, Jae-Gon;Bang, June-Young
    • Journal of Korean Society of Industrial and Systems Engineering
    • /
    • v.42 no.1
    • /
    • pp.33-40
    • /
    • 2019
  • This study focuses on a job-shop scheduling problem with the objective of minimizing total tardiness for the job orders that have different due dates and different process flows. We suggest the dispatching rule based scheduling algorithm to generate fast and efficient schedule. First, we show the delay schedule can be optimal for total tardiness measure in some cases. Based on this observation, we expand search space for selecting the job operation to explore the delay schedules. That means, not only all job operations waiting for process but also job operations not arrived at the machine yet are considered to be scheduled when a machine is available and it is need decision for the next operation to be processed. Assuming each job operation is assigned to the available machine, the expected total tardiness is estimated, and the job operation with the minimum expected total tardiness is selected to be processed in the machine. If this job is being processed in the other machine, then machine should wait until the job arrives at the machine. Simulation experiments are carried out to test the suggested algorithm and compare with the results of other well-known dispatching rules such as EDD, ATC and COVERT, etc. Results show that the proposed algorithm, MET, works better in terms of total tardiness of orders than existing rules without increasing the number of tardy jobs.

A Study on the Effect of Duty Free Shop Sales on Airline Delay at Airport - Focused on airlines of Incheon International Airport - (공항에서 면세점 매출액이 항공기 지연에 미치는 영향에 관한 연구 - 인천국제공항 탑승동 취항 항공사 대상으로 -)

  • Kim, Kwang Il
    • Journal of the Korean Society for Aviation and Aeronautics
    • /
    • v.26 no.2
    • /
    • pp.123-131
    • /
    • 2018
  • Air transport industry tries to enhance punctuality, stability and amenity for customer's satisfaction. Especially airlines and airports do make an effort as the distance between these two stakeholders and passengers are close. This research has empirically discussed the relationship between duty free shop purchase of passengers and the number of flights and hours of schedule delay at the airport. According to the result, the total sales of duty free shop sales have meaningful influence on the number of flights and hours of delay. In order to decrease such an impact, close cooperation between airports and duty free shops required.

Evaluation and Reduction of the Delay in a M/M/L Queueing System with 2 Class Heterogeneous Servers (2종류의 heterogeneous 링크로 구성된 M/M/L 큐잉 시스템에서의 지연시간 해석에 관한 연구)

  • Kim, Myeong-Seok;Ryoo, In-Tae;Park, Mig-Non;Lee, Sang-Bae
    • Proceedings of the KIEE Conference
    • /
    • 1987.07b
    • /
    • pp.1178-1180
    • /
    • 1987
  • The resequence delay is an important performance measure in a store-and-forword computer network where messages go out of order while traversing logical channel. An analysis of the total delay including resequence delay is presented for M/M/L queueing system with 2 class heterogeneous servers and improvement of delay performance is investigated by simulation.

  • PDF

Construction Delays in Developing Countries: A Review

  • Islam, Muhammad Saiful;Trigunarsyah, Bambang
    • Journal of Construction Engineering and Project Management
    • /
    • v.7 no.1
    • /
    • pp.1-12
    • /
    • 2017
  • Construction delay is one of the basic constrains to achieve the project objectives in developing countries. This study aims to find the causes and effects of construction delays in developing countries. A thorough literature review has been done following the content analysis method. The relevant literature of 28 developing countries was collected from the scholarly journals published in the period of 2006 to 2016. The different developing countries are grouped into three geographic regions, i.e. South and Southeast Asia, Middle East, and Africa. In these regions, total 53 potential causes of delay under 8 major groups are identified. Frequency and ranking of these factors have been done. The factors, delay in progress payment by owner, contractors' cash flow problem, improper planning and scheduling, poor site management, and change order by owner during construction, are acknowledged as critical causes of delay in developing countries. This study will assist both academic and professional experts providing more insight about the construction delays and project management in developing countries.

Improved Paired Cluster-Based Routing Protocol in Vehicular Ad-Hoc Networks

  • Kim, Wu Woan
    • International journal of advanced smart convergence
    • /
    • v.7 no.2
    • /
    • pp.22-32
    • /
    • 2018
  • In VANET, frequent movement of nodes causes dynamic changes of the network topology. Therefore the routing protocol, which is stable to effectively respond the changes of the network topology, is required. Moreover, the existing cluster-based routing protocol, that is the hybrid approach, has routing delay due to the frequent re-electing of the cluster header. In addition, the routing table of CBRP has only one hop distant neighbor nodes. PCBRP (Paired CBRP), proposed in this paper, ties two clusters in one pair of clusters to make longer radius. Then the pair of the cluster headers manages and operates corresponding member nodes. In the current CBRP, when the cluster header leaves the cluster the delay, due to the re-electing a header, should be occurred. However, in PCBRP, another cluster header of the paired cluster takes the role instead of the left cluster header. This means that this method reduces the routing delay. Concurrently, PCBRP reduces the delay when routing nodes in the paired cluster internally. Therefore PCBRP shows improved total delay of the network and improved performance due to the reduced routing overhead.

The effect of delay time of nonelectric detonator on the level of vibration in surface blasting (노천발파에서 비전기식뇌관의 시간차가 진동의 크기에 미치는 영향)

  • 강추원
    • Tunnel and Underground Space
    • /
    • v.6 no.3
    • /
    • pp.267-273
    • /
    • 1996
  • The types of eletric detonators manufactured in korea include instantaneous, decisecond and millisecond delays but number of delay intervals are only limited from No. 1 to No. 20 respectively. It is not sufficient to control accurately millisecond time with these detonators in large surface blasting. But nonelectric system detonators with an unlimited delay time are recently obtained. In this paper the effect of delay time of nonelectric detonator on the level of vibration in surface blasting was studied. A total of 169 data were recorded in the studied area. Blast point-to-measuring point distances ranged from 25 to 100 meter, where charge weight was 1.26 kg per delay.

  • PDF