• 제목/요약/키워드: Thin film polyimide

검색결과 184건 처리시간 0.027초

유기 절연층에 따른 유기 TFT 특성 연구 (Study on the Characteristics of Organic TFT Using Organic Insulating Layer Efficiency)

  • 표상우;이민우;손병천;김영관
    • 한국응용과학기술학회지
    • /
    • 제19권4호
    • /
    • pp.335-338
    • /
    • 2002
  • A new process for polymeric gate insulator in field-effect transistors was proposed. Fourier transform infrared absorption spectra were measured in order to identify ODPA-ODA polyimide. Its breakdown field and electrical conductivity were measured. All-organic thin-film transistors with a stacked-inverted top-contact structure were fabricated to demonstrate that thermally evaporated polyimide films could be used as a gate insulator. As a result, the transistor performances with evaporated polyimide was similar with spin-coated polyimide. It seems that the mass-productive in-situ solution-free processes for all-organic thin-film transistors are possible by using the proposed method without vacuum breaking.

Polyimide 터널 장벽을 이용한 Au/polyimide/유기 단분자막/Pb 구조에서 비탄성 전자 터널링에 관한 연구 (Inelastic Electron Tunneling in Au/polyimide/monolayer Organic Film/Pb Structures using a Polyimide Barrier)

  • 이호식;이원재;장경욱;최명규;이성일;김태완;;이준웅
    • 한국전기전자재료학회논문지
    • /
    • 제17권2호
    • /
    • pp.196-200
    • /
    • 2004
  • Using polyimide Langmuir-Blodgett(LB) films as a tunneling harrier, we fabricated Au/Polyimide/1-layer arachidic acid/Pb structure in order to investigate electron transport properties through a junction. It was found that 9-layer polyimide LB films function as a good tunneling harrier in a study of current-voltage(I-V) chararteristics. And several peaks originating in the vibrational modes of the constituent molecules of 1-layer arachidic acid LB films were clearly observed in d$^2$V/dI$^2$- V corves.

Organic TFT fabricated on ultra-thin flexible plastic with a rigid glass support

  • Son, Young-Rae;Han, Seung-Hoon;Lee, Sun-Hee;Lee, Ki-Jung;Choi, Min-Hee;Choo, Dong-Joon;Jang, Jin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2007년도 7th International Meeting on Information Display 제7권1호
    • /
    • pp.756-759
    • /
    • 2007
  • We have fabricated pentacene OTFT on ultra-thin flexible polyimide film with a rigid glass support. Polyimide film of the thickness of $10{\mu}m$ has formed on glass by spin coating from the solution. After the entire OTFT process, the OTFT exhibited a fieldeffect mobility of $0.4\;cm^2/Vs$, an $I_{on}/I_{off}$ ratio of $10^7$ and a subthreshold swing of 0.7 V/dec. The OTFT on polyimide film has been detached from the glass support and laminated on a plastic support of $130\;{\mu}m-thick$ PET film. After the detach process, in spite of the degrading of its field-effect mobility, the OTFT showed high $I_{on}/I_{off}$ as high $as{\sim}10^6$.

  • PDF

고분자 필름을 이용한 폴리이미드 표면에서의 프리틸트각 발생 (Generation of Pretilt Angles on the Polyimide Surface using Plymer Flims)

  • 황정연;남기형;서대식
    • 한국전기전자재료학회논문지
    • /
    • 제16권12호
    • /
    • pp.1110-1114
    • /
    • 2003
  • We have investigated the generation of pretilt angle for a nematic liquid crystal (NLC) alignment with rubbing alignment method on polyimide surfaces using thin plastic substrates. It was found that monodomain alignment of NLC is obtained with rubbing alignment method on polyimide surfaces using thin plastic substrates. The NLC pretilt angles generated are about 3$^{\circ}$ by the rubbing alignment method on thin plastic substrates, However, the pretilt angle are at about 1.7$^{\circ}$ lower on the glass substrate than on thin plastic substrate. We obtain that AFM (atomic force microscope) image of rubbed PI surface with polymer film has formed the micro-groove structure at the low curring temperature (120$^{\circ}C$). However, no grooves are obtained on the glass substrate at the same temperature. It is considered that this alignment may be attributed to roughness of micro-groove substrate. The tilt angle increases with increasing baking temperature for making polyimide layer using glass substrate. It was concluded that the pretilt angle in the polyimide surface is attributable to the increasing of imidization rato.

전력설비용 Polyimide의 전압-전류특성 (Voltage-Current Properties of Polyimide use Electrical Power Installation)

  • 전동규;이경섭
    • 한국조명전기설비학회:학술대회논문집
    • /
    • 한국조명전기설비학회 1998년도 학술발표회논문집
    • /
    • pp.112-115
    • /
    • 1998
  • We investigate the qualities of organic materials by which can manufacture organic thin films for solar cells and make thin films for insulation layers of an insulated cable. We give pressure stimulation into organic thin films and detect the induced displacement current. In processing of a device manufacture, We can see the process is good from the change of a surface pressure for organic thin films and transfer ratio of area per molecule. The structure of manufactured device is Au/organic thin films(polyimide)/Au and I-V characteristic of the device is measured from 0[V] to +5[V]. The maximum value of measured current is increased as the number of accumulated layers are decreased. The resistance for the number of accumulated layers, the energy density for an input voltage show desired results, and the insulation of a thin film is better as the interval between electrodes is larger.

  • PDF

폴리이미드 유기초박막의 유전특성에 관한 연구 (A study on the Dielectric Characteristics of Polyimide Organic Ultra Thin Films)

  • 전동규;이경섭
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1999년도 하계학술대회 논문집 D
    • /
    • pp.1744-1746
    • /
    • 1999
  • In this paper, we give pressure stimulation into organic ultra thin films and detected the induced displacement current properties, and then manufacture a device under the accumulation condition. In processing of a device manufacture, we can see the process is good from the change of a surface pressure and transfer ratio of area per molecule of organic ultra thin films. The structure of manufactured device is MIM(Au/polyimide LB films/AU), the number of accumulated 19 layers. I-V characteristic of the device is measured from -5[V] to +5[V]. The maximum value of measured current is increased as the number of accumulated layers are decreased. The insulation of a thin film is better as the interval between electrodes is larger, and the insulation properties of a thin film is better as the distance between electrodes is larger.

  • PDF

Photoinitiator-free Photosensitive Polyimide Gate Insulator for Organic Thin Film Transistor

  • Pyo, Seung-Moon;Lee, Moo-Yeol;Jeon, Ji-Hyun;Son, Hyun-Sam;Yi, Mi-Hye
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2004년도 Asia Display / IMID 04
    • /
    • pp.885-888
    • /
    • 2004
  • We have prepared and investigated the properties of photoinitiator-free photosensitive polyimide gate insulatos for organic thin-film transistors (OTFTs). The precursor was prepared from a dianhydride, 3,3',4,4'-Benzophenone tetracarboxylic dianhydride (BTDA) and novel aromatic diamine, 7-(3,5-diaminobenzoyloxy) coumarine (DA-CM). Photo-patternability of the polyimide precursor film and surface morphology of the films before and after photo-patterning process were investigated and negative pattern with a resolution of 50 ${\mu}m$ was obtained nicely. In addition, we have fabricated OTFTs with pentacene and photosensitive polyimide as a semiconductor and a gate insulator; respectively. According to the device geometry, the ${\mu}$, current modulation ratio and subthreshold swing of the devices were around 0.2${\sim}$0.4 $cm^2$/Vs, more than $10^5$ and around 3${\sim}$5 V/dec, respectively.

  • PDF

THIN FILM ADHESION IN Cu/Cr/POLYIMIDE AND Cu/Cu-Cr/POLYIMIDE SYSTEMS

  • Joh, Cheol-Ho;Kim, Young-Ho;Oh, Tae-Sung;Park, Ik-Sung;Yu, Jin
    • 한국표면공학회지
    • /
    • 제29권5호
    • /
    • pp.379-385
    • /
    • 1996
  • Adhesion of Cu/Cr and Cu/$Cu_xCr_{1-x}$ thin films onto polyimide substrates has been studied. For an adhesion layer, Cr or Cu-Cr alloy films were deposited onto polyimide using DC magnetron sputtering machine. Then Cu was sputter-deposited and finally, Cu was electroplated. Adhesion was evaluated using $90^{\circ}C$ peel test or T-peel test. Plastic deformation of the peeled metal layer was qualitatively measured using XRD technique. It is confirmed that high interfacial fracture energy and large plastic deformation are important to enhance the peel adhesion strength. High peel strength is obtained when the interface is strongly bonded. More ductile film has higher peel strength. In Cu-Cr alloy films, opposite effects of the Cr addition in the alloy film on the peel strength are operative: a beneficial effect of strong interfacial bonding and a negative effect of smaller plastic deformation.

  • PDF

절연층으로 폴리이미드와 실리콘 산화막을 사용한 박막 압력 센서의 특성 비교 (Comparison of the Performance of Thin Film Pressure Sensors with Polyimid and Silicon Oxide as a Insulating Layer)

  • 민남기;이성래;전재형;김정완
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1997년도 추계학술대회 논문집 학회본부
    • /
    • pp.296-298
    • /
    • 1997
  • The performance of thin film pressure sensors with polyimide and silicon oxide as a insulating layer between the stainless steel diaphragm and the Cu-Ni strain gauges is presented. The polyimide was spun on the stainless steel diaphragm and cured in an oven. The silicon oxide was deposited by rf sputtering. The thin film pressure sensor with silicon oxide as a insulating layer showed a better nonlinearity and a lower hysteresis.

  • PDF