• Title/Summary/Keyword: TSV timing defect

Search Result 1, Processing Time 0.02 seconds

IEEE 1500 Wrapper Design Technique for Pre/Post Bond Testing of TSV based 3D IC (TSV 기반 3D IC Pre/Post Bond 테스트를 위한 IEEE 1500 래퍼 설계기술)

  • Oh, Jungsub;Jung, Jihun;Park, Sungju
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.50 no.1
    • /
    • pp.131-136
    • /
    • 2013
  • TSV based 3D ICs have been widely developed with new problems at die and IC levels. It is imperative to test at post-bond as well as pre-bond to achieve high reliability and yield. This paper introduces a new testable design technique which not only test microscopic defects at TSV input/output contact at a die but also test interconnect defects at a stacked IC. IEEE 1500 wrapper cells are augmented and through at-speed tests for pre-bond die and post-bond IC, known-good-die and defect free 3D IC can be massively manufactured+.