• Title/Summary/Keyword: Slurry, Abrasive

Search Result 166, Processing Time 0.022 seconds

A Development of Recycling Technology of Solar Cell Wafering Slurry (태양전지 Wafering Slurry 재생기술 개발에 관한 연구)

  • Na, Won-Shik;Lee, Jae-Ha
    • Journal of Advanced Navigation Technology
    • /
    • v.14 no.3
    • /
    • pp.426-431
    • /
    • 2010
  • 68% of the manufacturing costs of solar cell wafer can be attributed to the slurry. The recycling of slurries is mandatory for reducing the costs of manufacturing wafering production, and the disposal of industrial waste, as well as for cutting down pollution levels. Slurries are currently being recycled using the centrifuge(decanter) method. However, this method is less than optimal as it does not completely remove the fine particles, leading to low quality. Also, be cause of the incomplete separation from the oil, it causes the impurities in the dried slurries. This study aims to develope a new recycling technology that overcomes the flaws of the centrifuge by utilizing chemicals. It will provide a total solution to the crucial process of recycling slurries in the making of solar cell wafer, by increasing the efficiency and renewable rate.

Effect of Abrasive Particles on Frictional Force and Abrasion in Chemical Mechanical Polishing(CMP) (CMP 연마입자의 마찰력과 연마율에 관한 영향)

  • Kim, Goo-Youn;Kim, Hyoung-Jae;Park, Boum-Young;Lee, Hyun-Seop;Park, Ki-Hyun;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.10
    • /
    • pp.1049-1055
    • /
    • 2004
  • Chemical Mechanical Polishing (CMP) is referred to as a three body tribological system, because it includes two solids in relative motion and the CMP slurry. On the assumption that the abrasives between the pad and the wafer could be a major reason not only for the friction force but also for material removal during polishing, the friction force generated during CMP process was investigated with the change of abrasive size and concentration of CMP slurry. The threshold point of average coefficient of friction (COF) with increase in abrasives concentration during interlayer dielectric (ILD) CMP was found experimentally and verified mathematically based on contact mechanics. The predictable models, Mode I (wafer is in contact with abrasives and pad) and Mode II (wafer is in contact with abrasives only), were proposed and used to explain the threshold point. The average COF value increased in the low abrasives concentration region which might be explained by Mode I. In contrast the average COF value decreased at high abrasives concentration which might be regarded to as Mode II. The threshold point observed seemed to be due to the transition from Mode I to Mode II. The tendency of threshold point with the variation of abrasive size was studied. The increase of particle radius could cause contact status to reach transition area faster. The correlation between COF and material removal rate was also investigated from the tribological and energetic point of view. Due to the energy loss by vibration of polishing equipment, COF value is not proportional to the material removal rate in this experiment.

Material Removal Rate Modeling of SiO2/TiO2 Mixed-Abrasive Slurry CMP for SiC (SiO2/TiO2 혼합입자 슬러리 SiC CMP의 재료제거율 모델링)

  • Hyunseop Lee
    • Tribology and Lubricants
    • /
    • v.39 no.2
    • /
    • pp.72-75
    • /
    • 2023
  • Silicon carbide (SiC) is used as a substrate material for power semiconductors; however, SiC chemical mechanical polishing (CMP) requires considerable time owing to its chemical stability and high hardness. Therefore, researchers are attempting to increase the material removal rate (MRR) of SiC CMP using various methods. Mixed-abrasive CMP (MAS CMP) is one method of increasing the material removal efficiency of CMP by mixing two or more particles. The aim of this research is to study the mathematical modeling of the MRR of MAS CMP of SiC with SiO2 and TiO2 particles. With a total particle concentration of 32 wt, using 80-nm SiO2 particles and 25-nm TiO2 particles maximizes the MRR at 8 wt of the TiO2 particle concentration. In the case of 5 nm TiO2 particles, the MRR tends to increase with an increase in TiO2 concentration. In the case of particle size 10-25 nm TiO2, as the particle concentration increases, the MRR increases to a certain level and then decreases again. TiO2 particles of 25 nm or more continuously decreased MRR as the particle concentration increased. In the model proposed in this study, the MRR of MAS CMP of SiC increases linearly with changes in pressure and relative speed, which shows the same result as the Preston's equation. These results can contribute to the future design of MAS; however, the model needs to be verified and improved in future experiments.

Chemical Mechanical Polishing: A Selective Review of R&D Trends in Abrasive Particle Behaviors and Wafer Materials (화학기계적 연마기술 연구개발 동향: 입자 거동과 기판소재를 중심으로)

  • Lee, Hyunseop;Sung, In-Ha
    • Tribology and Lubricants
    • /
    • v.35 no.5
    • /
    • pp.274-285
    • /
    • 2019
  • Chemical mechanical polishing (CMP), which is a material removal process involving chemical surface reactions and mechanical abrasive action, is an essential manufacturing process for obtaining high-quality semiconductor surfaces with ultrahigh precision features. Recent rapid growth in the industries of digital devices and semiconductors has accelerated the demands for processing of various substrate and film materials. In addition, to solve many issues and challenges related to high integration such as micro-defects, non-uniformity, and post-process cleaning, it has become increasingly necessary to approach and understand the processing mechanisms for various substrate materials and abrasive particle behaviors from a tribological point of view. Based on these backgrounds, we review recent CMP R&D trends in this study. We examine experimental and analytical studies with a focus on substrate materials and abrasive particles. For the reduction of micro-scratch generation, understanding the correlation between friction and the generation mechanism by abrasive particle behaviors is critical. Furthermore, the contact stiffness at the wafer-particle (slurry)-pad interface should be carefully considered. Regarding substrate materials, recent research trends and technologies have been introduced that focus on sapphire (${\alpha}$-alumina, $Al_2O_3$), silicon carbide (SiC), and gallium nitride (GaN), which are used for organic light emitting devices. High-speed processing technology that does not generate surface defects should be developed for low-cost production of various substrates. For this purpose, effective methods for reducing and removing surface residues and deformed layers should be explored through tribological approaches. Finally, we present future challenges and issues related to the CMP process from a tribological perspective.

Development of point-of-use filter evaluation method using chemical mechanical planarization slurry (Chemical mechanical planarization 슬러리에 사용되는 point-of-use 필터의 평가 방법 개발)

  • Jang, Sunjae;Kulkarni, Atul;Kim, Hyeong-U;Kim, Taesung
    • Particle and aerosol research
    • /
    • v.12 no.4
    • /
    • pp.145-150
    • /
    • 2016
  • During the chemical mechanical planarization (CMP) process, slurry that comprises abrasive particles can directly affect the CMP performance and quality. Mainly, the large particles in the slurry can generate the defects on the wafer. Thus, many kinds of filters have been used in the CMP process to remove unwanted over-sized particles. Among these filters, the point-of-use (POU) filter is used just before the slurry is supplied onto the CMP pad. In the CMP research field, analysis of the POU filter has been relatively exceptional, and previous studies have not focused on the standardized filtration efficiency (FE) or filter performance. Furthermore, conventional evaluation methods of filter performance are not appropriate for POU filters, as the POU filter is not a membrane type, but is instead a depth type roll filter. In order to accurately evaluate the POU filter, slurry FE according to particle size was measured in this study. Additionally, a CMP experiment was conducted with filtered slurry to demonstrate the effects of filtered slurry on CMP performance. Depending on the flow rate and the filter retention size, the FE according to particle size was different. When the small and large particles have different FEs, the total filtration efficiency (TFE) can still have a similar value. For this reason, there is a need to measure the FE with respect to the particle size to verify the effects of the POU filter on the CMP process.

Development and Characterization of Ru CMP Slurry (Ru CMP Slurry의 개발 및 특성평가)

  • Kim, In-Kwon;Kwon, Tae-Young;Park, Jin-Goo;Park, Hyung-Soon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.57-58
    • /
    • 2006
  • In MIM (metal insulator metal) capacitor, Ru (ruthenium) has been suggested as new bottom electrode due to its excellent electrical performance, a low leakage of current and compatibility to the high dielectric constant materials. In this case of Ru bottom electrode, CMP (chemical mechanical planarization) process was needed m order to planarize and isolate the bottom electrode. In this study, the effect of chemical A on polishing and etching behavior was investigated as functions of chemical A concentration, abrasive particle and pressure. Chemical A was used as oxidant and etchant. The thickness of passivation layer on the treated Ru surface increased with the increase of chemical A concentration. The etch rate and removal rate of Ru were increased by the addition of chemical A. The removal rate was highest m slurry of pH 9 with the addition of 0.1 M chemical A and 2 wt% alumina at 4 psi. The maximum removal rate is about 80 nm/min.

  • PDF

Stability of H2O2 as an Oxidizer for Cu CMP

  • Lee, Do-Won;Kim, Tae-Gun;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.6 no.1
    • /
    • pp.29-32
    • /
    • 2005
  • Chemical mechanical polishing is an essential process in the production of copper-based chips. On this work, the stability of hydrogen peroxide ($H_{2}O_{2}$) as an oxidizer of copper CMP slurry has been investigated. $H_{2}O_{2}$ is known as the most common oxidizer in copper CMP slurry. But $H_{2}O_{2}$ is so unstable that its stabilization is needed using as an oxidizer. As adding KOH as a pH buffering agent, stability of $H_{2}O_{2}$ decreased. However, $H_{2}O_{2}$ stability in slurry went up with putting in small amount of BTA as a film forming agent. There was no difference of $H_{2}O_{2}$ stability between pH buffering agents KOH and TMAH at similar pH value. Addition of $H_{2}O_{2}$ in slurry in advance of bead milling led to better stability than adding after bead milling. Adding phosphoric acid resulted in the higher stability. Using alumina C as an abrasive was good at stabilizing for $H_{2}O_{2}$.

A Study on Micro-hole machining for Ceramics(A1$_2$O$_3$) Using Ultrasonic vibration (초음파 진동을 이용한 세라믹스의 미세 구멍 가공 기술)

  • 이봉구;최헌종;이석우
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2002.05a
    • /
    • pp.988-992
    • /
    • 2002
  • Ultrasonic machining technology has been developed over recent years for the manufacture of and quality-assured precision parts for several industrial application such as optics, semiconductors, aerospace, and automobile application. The past decade has seen a tremendous in the use of ceramics in structural application. The excellent thermal, chemical and wear resistance of these material can be realized because of recent improvements in the overall strength and uniformity of advanced ceramics. Ultrasonic machining, in which abrasive particles in slurry with water are presented to the work surface in the presence of an ultrasonic-vibrating tool, is process which should be of considerable interest, as its potential is not limited by the electrical or chemical characteristics of the work material, making it suitable for application to ceramics. This paper intends to further the understanding of the basic mechanism of ultrasonic machining for brittle material and ultrasonic machining of ceramics based in the fracture-mechanic concept has been analyzed.

  • PDF

A Study on Machining Characteristic Comparison of Blanket Wafer(TEOS) by CMP and Spin Etching (CMP와 Spin Etching에 의한 Blanket Wafer(TEOS) 가공 특성 비교에 관한 연구)

  • 김도윤;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1068-1071
    • /
    • 2001
  • Recently, the minimum line width shows a tendancy to decrease and the multi-level to increase in semiconductor. Therefore, a planarization technique is needed, which chemical polishing(CMP) is considered as one of the most important process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as microscratches, abrasive contaminations, and non-uniformity of polished wafer edges. Spin Etching can improve the defects of CMP. It uses abrasive-free chemical solution instead of slurry. Wafer rotates and chemical solution is simultaneously dispensed on a whole surface of the wafer. Thereby chemical reaction is occurred on the surface of wafer, material is removed. On this study, TEOS film is removed by CMP and Spin Etching, the results are estimated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU).

  • PDF

A Study on a Wet etching of ILD (Interlayer Dielectric) Film Wafer (습식 에칭에 의한 웨이퍼의 층간 절연막 가공 특성에 관한 연구)

  • 김도윤;김형재;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1997.10a
    • /
    • pp.935-938
    • /
    • 1997
  • Recently, the minimum line width shows a tendency to decrease and the multi-level increase in semiconductor. Therefore, a planarization technique is needed and chemical mechanical polishing(CMP) is considered as one of the most suitable process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as micro-scratches, abrasive contaminations, and non-uniformity of polished wafer edges. Wet etching include of Spin-etching can improve he defects of CMP. It uses abrasive-free chemical solution instead of slurry. On this study, ILD(INterlayer-Dielectric) was removed by CMP and wet-etching methods in order to investigate the superiority of wet etching mechanism. In the thin film wafer, the results were evaluated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU). And pattern step height was also compared for planarization characteristics of the patterned wafer.

  • PDF