• Title/Summary/Keyword: Silicon etching

Search Result 740, Processing Time 0.032 seconds

Fabrication of Piezoresistive Silicon Acceleration Sensor Using Selectively Porous Silicon Etching Method (선택적인 다공질 실리콘 에칭법을 이용한 압저항형 실리콘 가속도센서의 제조)

  • Sim, Jun-Hwan;Kim, Dong-Ki;Cho, Chan-Seob;Tae, Heung-Sik;Hahm, Sung-Ho;Lee, Jong-Hyun
    • Journal of Sensor Science and Technology
    • /
    • v.5 no.5
    • /
    • pp.21-29
    • /
    • 1996
  • A piezoresistive silicon acceleration sensor with 8 beams, utilized by an unique silicon micromachining technique using porous silicon etching method which was fabricated on the selectively diffused (111)-oriented $n/n^{+}/n$ silicon subtrates. The width, length, and thickness of the beam was $100\;{\mu}m$, $500\;{\mu}m$, and $7\;{\mu}m$, respectively, and the diameter of the mass paddle (the region suspended by the eight beams) was 1.4 mm. The seismic mass on the mass paddle was formed about 2 mg so as to measure accelerations of the range of 50g for automotive applications. For the formation of the mass, the solder mass was loaded on the mass paddle by dispensing Pb/Sn/Ag solder paste. After the solder paste is deposited, Heat treatment was carried out on the 3-zone reflow equipment. The decay time of the output signal to impulse excitation of the fabricated sensor was observed for approximately 30 ms. The sensitivity measured through summing circuit was 2.9 mV/g and the nonlinearity of the sensor was less than 2% of the full scale output. The output deviation of each bridge was ${\pm}4%$. The cross-axis sensitivity was within 4% and the resonant frequency was found to be 2.15 KHz from the FEM simulation results.

  • PDF

Research on Fabrication of Silicon Lens for Optical Communication by Photolithography Process (포토리소그래피를 통한 광통신용 실리콘 렌즈 제작 및 특성 연구)

  • Park, Junseong;Lee, Daejang;Rho, Hokyun;Kim, Sunggeun;Heo, Jaeyeong;Ryu, Sangwan;Kang, Sung-Ju;Ha, Jun-Seok
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.25 no.2
    • /
    • pp.35-39
    • /
    • 2018
  • In order to improve the coupling efficiency, a collimator lens that collects the light emitted from the laser diode at a wide angle to the core of the optical fiber is essential. Glass mold method using a mold is widely used as a collimator lens currently used. Although this method is inexpensive to produce, it is difficult to form precisely and quality problems such as spherical aberration. In this study, the precision of surface processing was improved by replacing the existing glass mold method with the semiconductor process, and the material of the lens was changed to silicon suitable for the semiconductor process. The semiconductor process consists of a photolithography process using PR and a dry etching process using plasma. The optical coupling efficiency was measured using an ultra-precision alignment system for the evaluation of the optical characteristics of the silicon lens. As a result, the optical coupling efficiency was 50% when the lens diameter was $220{\mu}m$, and the optical coupling property was 5% or less with respect to the maximum optical coupling efficiency in the lens diameter range of $210-240{\mu}m$.

A effect of the back contact silicon solar cell with surface texturing size and density (표면 텍스쳐링 크기와 밀도가 후면 전극 실리콘 태양전지에 미치는 영향)

  • Jang, Wanggeun;Jang, Yunseok;Pak, Jungho
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2011.05a
    • /
    • pp.112.1-112.1
    • /
    • 2011
  • The back contact solar cell (BCSC) has several advantages compared to the conventional solar cell since it can reduce grid shadowing loss and contact resistance between the electrode and the silicon substrate. This paper presents the effect of the surface texturing of the silicon BCSC by varying the texturing depth or the texturing gap in the commercially available simulation software, ATHENA and ATLAS of the company SILVACO. The texturing depth was varied from $5{\mu}m$ to $150{\mu}m$ and the texturing gap was varied from $1{\mu}m$ to $100{\mu}m$ in the simulation. The resulting efficiency of the silicon BCSC was evaluated depending on the texturing condition. The quantum efficiency and the I-V curve of the designed silicon BCSC was also obtained for the analysis since they are closely related with the solar cell efficiency. Other parameters of the simulated silicon BCSC are as follows. The substrate was an n-type silicon, which was doped with phosphorous at $6{\times}10^{15}cm^{-3}$, and its thickness was $180{\mu}m$, a typical thickness of commercial solar cell substrate thickness. The back surface field (BSF) was $1{\times}10^{20}\;cm^{-3}$ and the doping concentration of a boron doped emitter was $8.5{\times}10^{19}\;cm^{-3}$. The pitch of the silicon BCSC was $1250{\mu}m$ and the anti-reflection coating (ARC) SiN thickness was $0.079{\mu}m$. It was assumed that the texturing was anisotropic etching of crystalline silicon, resulting in texturing angle of 54.7 degrees. The best efficiency was 25.6264% when texturing depth was $50{\mu}m$ with zero texturing gap in case of low texturing depth (< $100{\mu}m$).

  • PDF

Contact block copolymer technique을 이용한 실리콘 나노-필라 구조체 제작방법

  • Kim, Du-San;Kim, Hwa-Seong;Park, Jin-U;Yun, Deok-Hyeon;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.189-189
    • /
    • 2015
  • Plasmonics, sensor, field effect transistors, solar cells 등 다양한 적용분야를 가지는 실리콘 구조체는 제작공정에 의해 전기적 및 광학적 특성이 달라지기 때문에 적합한 나노구조 제작방법이 요구되고 있다. 나노구조체 제작방법으로는 Photo lithography, Extreme ultraviolet lithography (EUV), Nano imprinting lithography (NIL), Block copolymer (BCP) 방식의 방법들이 연구되고 있으며, 특히 BCP는 direct self-assembly 특성을 가지고 있으며 가격적인 면에서도 큰 장점을 가진다. 하지만 BCP를 mask로 사용하여 식각공정을 진행할 경우 BCP가 버티지 못하고 변형되어 mask로서의 역할을 하지 못한다. 이러한 문제를 해결하기 위하여 본 논문에서는 BCP와 질화막을 이용한 double mask 방법을 사용하였다. 기판 위에 BCP를 self-assembly 시키고 mask로 사용하여 hole 부분으로 노출된 기판을 Ion gun을 통해 질화 시킨 후에 BCP를 제거한다. 기판 위에 hole 모양의 질화막 표면은 BCP와 다르게 etching 공정 중 변형되지 않는다. 이러한 질화막 표면을 mask로 사용하여 pillar pattern의 실리콘 나노구조체를 제작하였다. 질화막 mask로 사용되는 template은 PS와 PMMA로 구성된 BCP를 사용하였다. 140kg/mol의 polystyrene과 65kg/mol의 PMMA를 톨루엔으로 용해시키고 실리콘 표면 위에 spin coating으로 도포하였다. Spin coat 후 230도에서 40시간 동안 열처리를 진행하여 40nm의 직경을 가진 PS-b-PMMA self-assembled hole morphology를 형성하였다. 질화막 형성 및 etching을 위한 장비로 low-energy Ion beam system을 사용하였다. Reactive Ion beam은 ICP와 3-grid system으로 구성된 Ion gun으로부터 형성된다. Ion gun에 13.56 MHz의 frequency를 갖는 200W 전력을 인가하였다. Plasma로부터 나오는 Ion은 $2{\Phi}$의 직경의 hole을 가지는 3-grid hole로 추출된다. 10~70 voltage 범위의 전위를 plasma source 바로 아래의 1st gird에 인가하고, 플럭스 조절을 위해 -150V의 전위를 2nd grid에 인가한다. 그리고 3rd grid는 접지를 시켰다. chamber내의 질화 및 식각가스 공급은 2mTorr로 유지시켰다. 그리고 기판의 온도는 냉각칠러를 이용하여 -20도로 냉각을 진행하였다. 이와 같은 공정 결과로 100 nm 이상의 높이를 갖는 40 nm직경의 균일한 Silicon pillar pattern을 형성 할 수 있었다.

  • PDF

Etching Property of the TaN Thin Film using an Inductively Coupled Plasma (유도결합플라즈마를 이용한 TaN 박막의 식각 특성)

  • Um, Doo-Seung;Woo, Jong-Chang;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.104-104
    • /
    • 2009
  • Critical dimensions has rapidly shrunk to increase the degree of integration and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate insulator layer and the low conductivity characteristic of poly-silicon. To cover these faults, the study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$ and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-silicon gate is not compatible with high-k materials for gate-insulator. To integrate high-k gate dielectric materials in nano-scale devices, metal gate electrodes are expected to be used in the future. Currently, metal gate electrode materials like TiN, TaN, and WN are being widely studied for next-generation nano-scale devices. The TaN gate electrode for metal/high-k gate stack is compatible with high-k materials. According to this trend, the study about dry etching technology of the TaN film is needed. In this study, we investigated the etch mechanism of the TaN thin film in an inductively coupled plasma (ICP) system with $O_2/BCl_3/Ar$ gas chemistry. The etch rates and selectivities of TaN thin films were investigated in terms of the gas mixing ratio, the RF power, the DC-bias voltage, and the process pressure. The characteristics of the plasma were estimated using optical emission spectroscopy (OES). The surface reactions after etching were investigated using X-ray photoelectron spectroscopy (XPS) and auger electron spectroscopy (AES).

  • PDF

RIE induced damage recovery on trench surface (트렌치 표면에서의 RIE 식각 손상 회복)

  • 이주욱;김상기;배윤규;구진근
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.3
    • /
    • pp.120-126
    • /
    • 2004
  • A damage-reduced trench was investigated in view of the defect distribution along trench sidewall and bottom using high resolution transmission electron microscopy, which was formed by HBr plasma and additive gases in magnetically enhanced reactive ion etching system. Adding $O_2$ and other additive gases into HBr plasma makes it possible to eliminate sidewall undercut and lower surface roughness by forming the passivation layer of lateral etching. To reduce the RIE induced damage and obtain the fine shape trench corner rounding, we investigated the hydrogen annealing effect after trench formation. Silicon atomic migration on trench surfaces using high temperature hydrogen annealing was observed with atomic scale view. Migrated atoms on crystal surfaces formed specific crystal planes such as (111), (113) low index planes, instead of fully rounded comers to reduce the overall surface energy. We could observe the buildup of migrated atoms against the oxide mask, which originated from the surface migration of silicon atoms. Using this hydrogen annealing, more uniform thermal oxide could be grown on trench surfaces, suitable for the improvement of oxide breakdown.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Characterization of Surface Morphology and Light Scattering of Transparent Conducting ZnO:Al Films as Front Electrode for Silicon Thin Film Solar Cells (실리콘 박막 태양전지 전면 전극용 ZnO : Al 투명전도막의 표면형상 및 산란광 특성)

  • Kim, Young-Jin;Cho, Jun-Sik;Lee, Jeong-Chul;Wang, Jin-Suk;Song, Jin-Soo;Yoon, Kyung-Hoon
    • Korean Journal of Materials Research
    • /
    • v.19 no.5
    • /
    • pp.245-252
    • /
    • 2009
  • Changes in the surface morphology and light scattering of textured Al doped ZnO thin films on glass substrates prepared by rf magnetron sputtering were investigated. As-deposited ZnO:Al films show a high transmittance of above 80% in the visible range and a low electrical resistivity of $4.5{\times}10^{-4}{\Omega}{\cdot}cm$. The surface morphology of textured ZnO:Al films are closely dependent on the deposition parameters of heater temperature, working pressure, and etching time in the etching process. The optimized surface morphology with a crater shape is obtained at a heater temperature of $350^{\circ}C$, working pressure of 0.5 mtorr, and etching time of 45 seconds. The optical properties of light transmittance, haze, and angular distribution function (ADF) are significantly affected by the resulting surface morphologies of textured films. The film surfaces, having uniformly size-distributed craters, represent good light scattering properties of high haze and ADF values. Compared with commercial Asahi U ($SnO_2$:F) substrates, the suitability of textured ZnO:Al films as front electrode material for amorphous silicon thin film solar cells is also estimated with respect to electrical and optical properties.

Hydrogen Absorption by Crystalline Semiconductors: Si(100), (110) and (111)

  • Jeong, Min-Bok;Jo, Sam-Geun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.383-383
    • /
    • 2010
  • Gas-phase hydrogen atoms create a variety of chemical and physical phenomena on Si surfaces: adsorption, abstraction of pre-adsorbed H, Si etching, Si amorphization, and penetration into the bulk lattice. Thermal desorption/evolution analyses exhibited three distinct peaks, including one from the crystalline bulk. It was previously found that thermal-energy gaseous H(g) atoms penetrate into the Si(100) crystalline bulk within a narrow substrate temperature window(centered at ~460K) and remain trapped in the bulk lattice before evolving out at a temperature as high as ~900K. Developing and sustaining atomic-scale surface roughness, by H-induced silicon etching, is a prerequisite for H absorption and determines the $T_s$ windows. Issues on the H(g) absorption to be further clarified are: (1) the role of the detailed atomic surface structure, together with other experimental conditions, (2) the particular physical lattice sites occupied by, and (3) the chemical nature of, absorbed H(g) atoms. This work has investigated and compared the thermal H(g) atom absorptivity of Si(100), Si(111) and Si(110) samples in detail by using the temperature programmed desorption mass spectrometry (TPD-MS). Due to the differences in the atomic structures of, and in the facility of creating atom-scale etch pits on, Si(100), (100) and (110) surfaces, the H-absorption efficiency was found to be larger in the order of Si(100) > Si(111) > Si(110) with a relative ratio of 1 : 0.22 : 0.045. This intriguing result was interpreted in terms of the atomic-scale surface roughening and kinetic competition among H(g) adsorption, H(a)-by-H(g) abstraction, $SiH_3(a)$-by-H(g) etching, and H(g) penetraion into the crystalline silicon bulk.

  • PDF

The study of the fabrication and physical properties of porous silicon multilayers (다층구조를 갖는 다공질규소층의 제작과 이의 물성)

  • 김영유;전종현;류성주;이영섭;이기원;최봉수
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.9 no.6
    • /
    • pp.597-600
    • /
    • 1999
  • By periodically varying the current density and etching time during anodic oxidation of crustalline silicon wafers in 15% HF-ethanol solution, we obtained porous silicon multilayers which have periodically varying refractive index. We fabricated the porous silicon microcavity (PSM) which consist of porous silicon multilayers (I), active layer of porous silicon, and porous silicon multilayers (II) and investigated its physical properties. The AFM (Atomic Force Microscope) measurement from the cross section of multilayers (I and II) shows uniformity of high refractive index and low index layers as well as the active layer. We observed the characteristics of Bragg reflector when the thickness of layers was 1/4 and the thickness of active layer was twice of the effective wavelength, which can be used as a filter for specific wavelength. We found the emission characteristic from the PSM, which FWHM (full width half maximum) was considerably decreased and emission intensity was increased.

  • PDF