• Title/Summary/Keyword: Si deep etching

Search Result 56, Processing Time 0.031 seconds

Study on Photoelectrochemical Etching of Single Crystal 6H-SiC (단결정 6H-SiC의 광전화학습식식각에 대한 연구)

  • 송정균;정두찬;신무환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.2
    • /
    • pp.117-122
    • /
    • 2001
  • In this paper, we report on photoelectrochemical etching process of 6H-SiC semiconductor wafer. The etching was performed in two-step process; anodization of SiC surface to form a deep porous layer and thermal oxidation followed by an HF dip. Etch rate of about 615${\AA}$/min was obtained during the anodization using a dilute HF(1.4wt% in H$_2$O) electrolyte with the etching potential of 3.0V. The etching rate was increased with the bias voltage. It was also found out that the adition of appropriate portion of H$_2$O$_2$ into the HF solution improves the etching rate. The etching process resulted in a higherly anisotropic etching characteristics and showed to have a potential for the fabrication of SiC devices with a novel design.

  • PDF

Optimization of Etching Profile in Deep-Reactive-Ion Etching for MEMS Processes of Sensors

  • Yang, Chung Mo;Kim, Hee Yeoun;Park, Jae Hong
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.1
    • /
    • pp.10-14
    • /
    • 2015
  • This paper reports the results of a study on the optimization of the etching profile, which is an important factor in deep-reactive-ion etching (DRIE), i.e., dry etching. Dry etching is the key processing step necessary for the development of the Internet of Things (IoT) and various microelectromechanical sensors (MEMS). Large-area etching (open area > 20%) under a high-frequency (HF) condition with nonoptimized processing parameters results in damage to the etched sidewall. Therefore, in this study, optimization was performed under a low-frequency (LF) condition. The HF method, which is typically used for through-silicon via (TSV) technology, applies a high etch rate and cannot be easily adapted to processes sensitive to sidewall damage. The optimal etching profile was determined by controlling various parameters for the DRIE of a large Si wafer area (open area > 20%). The optimal processing condition was derived after establishing the correlations of etch rate, uniformity, and sidewall damage on a 6-in Si wafer to the parameters of coil power, run pressure, platen power for passivation etching, and $SF_6$ gas flow rate. The processing-parameter-dependent results of the experiments performed for optimization of the etching profile in terms of etch rate, uniformity, and sidewall damage in the case of large Si area etching can be summarized as follows. When LF is applied, the platen power, coil power, and $SF_6$ should be low, whereas the run pressure has little effect on the etching performance. Under the optimal LF condition of 380 Hz, the platen power, coil power, and $SF_6$ were set at 115W, 3500W, and 700 sccm, respectively. In addition, the aforementioned standard recipe was applied as follows: run pressure of 4 Pa, $C_4F_8$ content of 400 sccm, and a gas exchange interval of $SF_6/C_4F_8=2s/3s$.

A Study on Deep Etching technology for MEMS process (MEMS 가공을 위한 실리콘 Deep Etching 기술 연구)

  • 김진현;이종권;류근걸;이윤배;이미영;김우혁
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.5 no.2
    • /
    • pp.128-131
    • /
    • 2004
  • In this study Bosch etching process repeating etch and deposition by STS-ICP ASEHR was evaluated. Fundamentally etch depth changes were affected by thickness of deposited PR, $SiO_2$ and depth, and pattern size on the substrate. However etch rates were observed to be changed by variable parameters such as platen power, coil power, and process pressure. Etch rate showed $1.2\mu{m}/min$ and sidewall profile showed $90\pm0.2^\circ$ with platen power 12W, coil power 500W, and etch/passivation cycle 6/7sec. It was confirmed that this result was very typical to Bosch process utilizing ICP.

  • PDF

The Development of Cl-Plasma Etching Procedure for Si and SiO$_2$

  • Kim, Jong-Woo;Jung, Mi-Young;Park, Sung-Soo;Boo, Jin-Hyo
    • Journal of the Korean institute of surface engineering
    • /
    • v.34 no.5
    • /
    • pp.516-521
    • /
    • 2001
  • Dry etching of Si wafer and $SiO_2$ layers was performed using He/Cl$_2$ mixture plasma by diode-type reactive ion etcher (RIE) system. For Si etching, the Cl molecules react with the Si molecules on the surface and become chemically stable, indicating that the reactants need energetic ion bombardment. During the ion assisted desorption, energetic ions would damage the photoresist (PR) and produce the bad etch Si-profile. Moreover, we have examined the characteristics of the Cl-Si reaction system, and developed the new fabrication procedures with a $Cl_2$/He mixture for Si and $SiO_2$-etching. The developed novel fabrication procedure allows the RIE to be unexpensive and useful a Si deep etching system. Since the etch rate was proved to increase linearly with fHe and the selectivity of Si to $SiO_2$ etch rate was observed to be inversely proportional to fHe.

  • PDF

Fabrication of Microwire Arrays for Enhanced Light Trapping Efficiency Using Deep Reactive Ion Etching

  • Hwang, In-Chan;Seo, Gwan-Yong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.454-454
    • /
    • 2014
  • Silicon microwire array is one of the promising platforms as a means for developing highly efficient solar cells thanks to the enhanced light trapping efficiency. Among the various fabrication methods of microstructures, deep reactive ion etching (DRIE) process has been extensively used in fabrication of high aspect ratio microwire arrays. In this presentation, we show precisely controlled Si microwire arrays by tuning the DRIE process conditions. A periodic microdisk arrays were patterned on 4-inch Si wafer (p-type, $1{\sim}10{\Omega}cm$) using photolithography. After developing the pattern, 150-nm-thick Al was deposited and lifted-off to leave Al microdisk arrays on the starting Si wafer. Periodic Al microdisk arrays (diameter of $2{\mu}m$ and periodic distance of $2{\mu}m$) were used as an etch mask. A DRIE process (Tegal 200) is used for anisotropic deep silicon etching at room temperature. During the process, $SF_6$ and $C_4F_8$ gases were used for the etching and surface passivation, respectively. The length and shape of microwire arrays were controlled by etching time and $SF_6/C_4F_8$ ratio. By adjusting $SF_6/C_4F_8$ gas ratio, the shape of Si microwire can be controlled, resulting in the formation of tapered or vertical microwires. After DRIE process, the residual polymer and etching damage on the surface of the microwires were removed using piranha solution ($H_2SO_4:H_2O_2=4:1$) followed by thermal oxidation ($900^{\circ}C$, 40 min). The oxide layer formed through the thermal oxidation was etched by diluted hydrofluoric acid (1 wt% HF). The surface morphology of a Si microwire arrays was characterized by field-emission scanning electron microscopy (FE-SEM, Hitachi S-4800). Optical reflection measurements were performed over 300~1100 nm wavelengths using a UV-Vis/NIR spectrophotometer (Cary 5000, Agilent) in which a 60 mm integrating sphere (Labsphere) is equipped to account for total light (diffuse and specular) reflected from the samples. The total reflection by the microwire arrays sample was reduced from 20 % to 10 % of the incident light over the visible region when the length of the microwire was increased from $10{\mu}m$ to $30{\mu}m$.

  • PDF

Fabrication of 3-dimensional microstructures for bulk micromachining (블크 마이크로 머신용 미세구조물의 제작)

  • 최성규;남효덕;정연식;류지구;정귀상
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.741-744
    • /
    • 2001
  • This paper described on the fabrication of microstructures by DRIE(Deep Reactive Ion Etching). SOI(Si-on-insulator) electric devices with buried cavities are fabricated by SDB technology and electrochemical etch-stop. The cavity was fabricated the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the fabricated cavity under vacuum condition at -760 mm Hg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annealing(1000$^{\circ}C$, 60 min.), the SDB SOI structure was thinned by electrochemical etch-stop. Finally, it was fabricated microstructures by DRIE as well as a accurate thickness control and a good flatness.

  • PDF

The Fabrication of SOB SOI Structures with Buried Cavity for Bulk Micro Machining Applications

  • Kim, Jae-Min;Lee, Jong-Chun;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07b
    • /
    • pp.739-742
    • /
    • 2002
  • This paper described on the fabrication of microstructures by DRIE(deep reactive ion etching). SOI(Si-on-insulator) electric devices with buried cavities are fabricated by SDB technology and electrochemical etch-stop. The cavity was fabricated the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the fabricated cavity under vacuum condition at -760 mmHg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annealing($1000^{\circ}C$, 60 min.), The SDB SOI structure was thinned by electrochemical etch-stop. Finally, it was fabricated microstructures by DRIE as well as an accurate thickness control and a good flatness.

  • PDF

Silicon trench etching using inductively coupled Cl2/O2 and Cl2/N2 plasmas

  • Kim, Hyeon-Soo;Lee, Young-Jun;Young, Yeom-Geun
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.2 no.2
    • /
    • pp.122-132
    • /
    • 1998
  • Characteristics of inductively coupled Cl2/O2 and Cl2/N2 plasmas and their effects on the formation of submicron deep trench etching of single crystal silicon have been investigated using Langmuir probe, quadrupole mass spectrometer (QMS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM), Also, when silicon is etched with oxygen added chlorine plasmas, etch products recombined with oxygen such as SiClxOy emerged and Si-O bondings were found on the etched silicon surface. However, when nitrogen is added to chlorine, no etch products recombined with nitrogen nor Si-N bondings were found on the etched silicon surface. When deep silicon trenches were teached, the characteristics of Cl2/O2 and Cl2/N2 plasmas changed the thickness of the sidewall residue (passivation layer) and the etch profile. Vertical deep submicron trench profiles having the aspect ratio higher than 5 could be obtained by controlling the thickness of the residue formed on the trench sidewall using Cl2(O2/N2) plasmas.

  • PDF

Si Deep Etching Process Study for Fine Pitch Probe Unit

  • Han, Myeong-Su;Park, Il-Mong;Han, Seok-Man;Go, Hang-Ju;Kim, Hyo-Jin;Sin, Jae-Cheol
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.296-296
    • /
    • 2012
  • LCD panel 검사를 위한 Probe unit은 대형 TV 및 모바일용 스마트폰을 중심으로 각광을 받고 있는 소모성 부품으로 최근 pitch의 미세패턴화가 급속히 진행되고 있다. 본 연구에서는 Slit Wafer 제작 공정을 최적화하기 위해 25 um pitch의 마스크를 설계, 제작하였다. 단공과 장공을 staggered 형태로 배열하여 25 um/25 um line/space pitch로 설계하였다. 또한 단위실험을 위해 직접 25 um pitch로 설계하여, 동일한 실험조건을 적용하여 최적 조건을 찾고자 하였다. 반응변수는 Etch rate 및 profile angle로 결정하였으며, 약 200~400 um 에칭된 slit의 상단과 하단의 폭, 그리고 식각깊이를 SEM 측정사진을 통해 정한 후 etch rate 및 profile angle을 결정하였다. 인자는 식각속도 및 wall의 각도를 결정하는 식각 및 passivation 가스의 유량, chamber 압력(etching/passivation), 식각시간 등으로 정하였으며, 이들의 최대값과 최소값 2 수준으로 실험계획을 설계하였다. 식각 조건에 따라 8회의 실험을 수행하였다. 가스의 유량은 SF6 400 sccm, C4F8 400 sccm, 식각 싸이클 시간은 5.2~10.4 sec, passivation 싸이클시간 4 sec로 하였으며, 압력은 식각시 7.5 Pa, passivation 시 10 Pa로 할 경우가 가장 sharp하게 나타났다. Coil power 와 platen power는 각각 2.6 KW, 0.14 KW로 하였으며, 최적화를 위한 인자의 값들은 이 범위에서 조절하였다. 이러한 인자의 조건 조절을 통해 etch rate는 5.6 um/min~6.4 um/min, $88.9{\sim}89.1^{\circ}$의 profile angle을 얻을 수 있었다.

  • PDF