• Title/Summary/Keyword: Si Etching

Search Result 872, Processing Time 0.032 seconds

Characteristics of Polarization and Birefringence for Submicron a-Ge Thin Film on Quartz Substrate Formed by Focused-Ion-Beam (석영 기판 위에 집속 이온빔 기술에 의해 형성된 비정질 게르마늄 박막 미세 패턴의 편광 및 복굴절 특성)

  • Shin, Kyung;Ki, Jin-Woo;Park, Chung-Il;Lee, Hyun-Yong;Chung, Hong-Bay
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.05a
    • /
    • pp.617-620
    • /
    • 1999
  • In this study, the polarization e(fecal and the birefringence effect of amorphous germanium (a-Ge) thin films were investigated by using linearly polarized He-Ne laser beam. The a-7e thin films were deposited on the quarts substrate by plasma enhanced chemical vapor deposition (PECVD) and thermal vacuum evaporation In order to obtain the optimum grating arrays, inorganci resists such as Si$_3$N$_4$ and a-Se$_{75}$ Ge$_{25}$ , were prepared with the optimized thickness by Monte Carlo (MC) simulation. As the results of MC simulation, the thickness ofa-Se$_{75}$ Ge$_{25}$ resist was determined with Z$_{min}$ of 360$\AA$ . The resists were exposed to Ga$^{+}$-FIB with accelerating energies of 50 keV, developed by wet etching, and a-Ge thin film was etched by reactive ion-etching (RIE). Finally, we were obtained grating arrays which grating width and linewidth are 0.8${\mu}{\textrm}{m}$, respectively and we studied the polarization and birefringence effect in transmission grating array made of high refractive amorphous material, and the applicability as waveplates and polarizers in optical device.e.e.

  • PDF

Generation of neutral stream from helicon plasma and its application to Si dry etching (헬리콘 플라즈마로부터 중성입자 흐름의 생성 및 이를 이용한 실리콘의 건식식각)

  • 정석재;양호식;조성민
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.4
    • /
    • pp.390-396
    • /
    • 1998
  • Neutral stream was generated from Helicon plasma source and was applied to etch silicon for the purpose of preventing physical and electrical damages from the bombardment of charged particles with high translation energy. By installing a permanent magnet and applying positive bias beneath the substrate, the cusp-magnetic and electric fiddles were generated in order to remove the charged particles from the downstream plasma. As a result, the electron density and ion density in the vicinity of the substrate were reduced by 1/1000 and 1/10, respectively. The directional etching of silicon was observed and the etch rate was found to be very low to below 100 $\AA$/min at a pressure of $8.5{\times}10^{-4}$ Torr, when $Cl_2$ and 10% $SF_{sigma}$ etchant gases were used.

  • PDF

Fabrication Technology of the Focusing Grating Coupler using Single-step Electron Beam Lithography

  • Kim, Tae-Youb;Kim, Yark-Yeon;Han, Gee-Pyeong;Paek, Mun-Cheol;Kim, Hae-Sung;Lim, Byeong-Ok;Kim, Sung-Chan;Shin, Dong-Hoon;Rhee, Jin-Koo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.3 no.1
    • /
    • pp.30-37
    • /
    • 2002
  • A focusing grating coupler (FGC) was not fabricated by the 'Continuous Path Control'writing strategy but by an electron-beam lithography system of more general exposure mode, which matches not only the address grid with the grating period but also an integer multiple of the address grid resolution (5 nm). To more simplify the fabrication, we are able to reduce a process step without large decrease of pattern quality by excluding a conducting material or layer such as metal (Al, Cr, Au), which are deposited on top or bottom of an e-beam resist to prevent charge build-up during e-beam exposure. A grating pitch period and an aperture feature size of the FGC designed and fabricated by e-beam lithography and reactive ion etching were ranged over 384.3 nm to 448.2 nm, and 0.5 $\times$ 0.5 mm$^2$area, respectively. This fabrication method presented will reduce processing time and improve the grating quality by means of a consideration of the address grid resolution, grating direction, pitch size and shapes when exposing. Here our investigations concentrate on the design and efficient fabrication results of the FGC for coupling from slab waveguide to a spot in free space.

A Comparison of Methods to Remove the Boron Rich Layer Formed at Boron Doping Process for c-Si Solar Cell Applications (결정질 실리콘 태양전지의 적용을 위해 보론 확산 공정에서 생성되는 Boron Rich Layer 제거 연구)

  • Choi, Ju Yeon;Cho, Young Joon;Chang, Hyo Sik
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.28 no.10
    • /
    • pp.665-669
    • /
    • 2015
  • We investigated and compared two methods of in-situ oxidation and chemical etching treatment (CET) to remove the boron rich layer (BRL). The BRL is generally formed during boron doping process. It has to be controlled in order not to degrade carrier lifetime and reduce electrical properties. A boron emitter is formed using $BBr_3$ liquid source at $930^{\circ}C$. After that, in-situ oxidation was followed by injecting oxygen of 1,000 sccm into the furnace during ramp down step and compared with CET using a mixture of acid solution for a short time. Then, we analyzed passivation effect by depositing $Al_2O_3$. The results gave a carrier lifetime of $110.9{\mu}s$, an open-circuit voltage ($V_{oc}$) of 635 mV at in-situ oxidation and a carrier lifetime of $188.5{\mu}s$, an $V_{oc}$ of 650 mV at CET. As a result, CET shows better properties than in-situ oxidation because of removing BRL uniformly.

The etching properties of $Al_2O_3$ thin films in $N_2/Cl_2/BCl_3$ and Ar/$Cl_2/BCl_3$ gas chemistry (유도결합 플라즈마를 이용한 $Al_2O_3$ 식각 특성)

  • Koo, Seong-Mo;Kim, Dong-Pyo;Kim, Kyoung-Tae;Kim, Chang-Il
    • Proceedings of the KIEE Conference
    • /
    • 2004.11a
    • /
    • pp.72-74
    • /
    • 2004
  • In this study, we used a inductively coupled plasma (ICP) source for etching $Al_2O_3$ thin films because of its high plasma density, low process pressure and easy control bias power. $Al_2O_3$ thin films were etched using $Cl_2/BCl_3$, $N_2/Cl_2/BCl_3$, and Ar/$Cl_2/BCl_3$ plasma. The experiments were carried out measuring the etch rates and the selectivities of $Al_2O_3$ to $SiO_2$ as a function of gas mixing ratio, rf power, and chamber pressure. When $Cl_2$ 50% was added to $Cl_2/BCl_3$ plasma, the etch rate of the $Al_2O_3$ films was 118 nm/min. We also investigated the effect of gas addition. In case of $N_2$ addition, the etch rate of the $Al_2O_3$ films decreased while $N_2$ was added into $Cl_2/BCl_3$ plasma. However, the etch rate increased slightly as Ar added into $Cl_2/BCl_3$ plasma, and then further increase of Ar decreased the etch rate. The maximum etch rate was 130 nm/min at Ar 20% in $Cl_2/BCl_3$ plasma, and the highest etch selectivity was 0.81 in $N_2$ 20% in $Cl_2/BCl_3$ plasma. And, we obtained the results that the etch rate increases as rf power increases and chamber pressure decreases. The characteristics of the plasmas were estimated using optical emission spectroscopy (OES).

  • PDF

Etch Characteristics of TiN Thin Films in the Inductively Coupled Plasma System (유도 결합 플라즈마를 이용한 TiN 박막의 식각 특성)

  • Um, Doo-Seung;Kang, Chan-Min;Yang, Xue;Kim, Dong-Pyo;Kim, Chang-Il
    • Journal of the Korean institute of surface engineering
    • /
    • v.41 no.3
    • /
    • pp.83-87
    • /
    • 2008
  • This study described the effects of RF power, DC bias voltage, chamber pressure and gas mixing ratio on the etch rates of TiN thin film and selectivity of TiN thin film to $SiO_2$ with $BCl_3$/Ar gas mixture. When the gas mixing ratio was $BCl_3$(20%)/Ar(80%) with other conditions were fixed, the maximum etch rate of TiN thin film was 170.6 nm/min. When the DC bias voltage increased from -50 V to -200 V, the etch rate of TiN thin film increased from 15 nm/min to 452 nm/min. As the RF power increased and chamber pressure decreased, the etch rate of TiN thin film showed an increasing tendency. When the gas mixing ratio was $BCl_3$(20%)/Ar(80%) under others conditions were fixed, the intensity of optical emission spectra from radical or ion such as Ar(750.4 nm), $Cl^+$(481.9 nm) and $Cl^{2+}$(460.8 nm) was highest. The TiN thin film was effectively removed by the chemically assisted physical etching in $BCl_3$/Ar ICP plasma.

Fabrication of a robust, transparent, and superhydrophobic soda-lime glass

  • Rahmawan, Yudi;Kwak, Moon-Kyu;Moon, Myoung-Woon;Lee, Kwang-Ryeol;Suh, Kahp-Yang
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.86-86
    • /
    • 2010
  • Micro- and nanoscale texturing and control of surface energy have been considered for superhydrophobicity on polymer and silicon. However these surfaces have been reported to be difficult to meet the robustness and transparency requirements for further applications, from self cleaning windows to biochip technology. Here we provided a novel method to fabricate a nearly superhydrophobic soda-lime glass using two-step method. The first step involved wet etching process to fabricate micro-sale patterns on soda-lime glass. The second step involved application of $SiO_x$-incorporated DLC to generate high intrinsic contact angle on the surface using chemical vapor deposition (CVD) process. To investigate the effect of surface roughness, we used both positive and negative micro-scale patterns on soda-limeglass, which is relatively hard for surface texturing in comparison to quartz or Pyrex glasses due to the presence of impurities, but cheaper. For all samples we tested the static wetting angle and transparency before and after 100 cycles of wear test using woolen steel. The surface morphology is observed using optical and scanning electron microscope (SEM). The results shows that negative patterns had a greater wear resistance while the hydrophobicity was best achieved using positive patterns having static contact angle up to 140 deg. with about 80% transparency. The overall experiment shows that positive patterns at etching time of 1 min shows the optimum transparency and hydrophobicity. The optimization of micro-scale pattern to achieve a robust, transparent, superhydrophobic soda-lime glass will be further investigated in the future works.

  • PDF

Fabrication of surface-enhanced Raman scattering substrate using black silicon layer manufactured through reactive ion etching (RIE 공정으로 제조된 블랙 실리콘(Black Silicon) 층을 사용한 표면 증강 라만 산란 기판 제작)

  • Kim, Hyeong Ju;Kim, Bonghwan;Lee, Dongin;Lee, Bong-Hee;Cho, Chanseob
    • Journal of Sensor Science and Technology
    • /
    • v.30 no.4
    • /
    • pp.267-272
    • /
    • 2021
  • In this study, Ag was deposited to investigate its applicability as a surface-enhanced Raman scattering substrate after forming a grass-type black silicon structure through maskless reactive ion etching. Grass-structured black silicon with heights of 2 - 7 ㎛ was formed at radio-frequency (RF) power of 150 - 170 W. The process pressure was 250 mTorr, the O2/SF6 gas ratio was 15/37.5, and the processing time was 10 - 20 min. When the processing time was increased by more than 20 min, the self-masking of SixOyFz did not occur, and the black silicon structure was therefore not formed. Raman response characteristics were measured based on the Ag thickness deposited on a black silicon substrate. As the Ag thickness increased, the characteristic peak intensity increased. When the Ag thickness deposited on the black silicon substrate increased from 40 to 80 nm, the Raman response intensity at a Raman wavelength of 1507 / cm increased from 8.2 × 103 to 25 × 103 cps. When the Ag thickness was 150 nm, the increase declined to 30 × 103 cps and showed a saturation tendency. When the RF power increased from 150 to 170 W, the response intensity at a 1507/cm Raman wavelength slightly increased from 30 × 103 to 33 × 103 cps. However, when the RF power was 200 W, the Raman response intensity decreased significantly to 6.2 × 103 cps.

Change of phase transformation and bond strength of Y-TZP with various hydrofluoric acid etching

  • Mi-Kyung Yu;Eun-Jin Oh;Myung-Jin Lim;Kwang-Won Lee
    • Restorative Dentistry and Endodontics
    • /
    • v.46 no.4
    • /
    • pp.54.1-54.10
    • /
    • 2021
  • Objectives: The purpose of this study was to quantify phase transformation after hydrofluoric acid (HF) etching at various concentrations on the surface of yttria-stabilized tetragonal zirconia polycrystal (Y-TZP), and to evaluate changes in bonding strength before and after thermal cycling. Materials and Methods: A group whose Y-TZP surface was treated with tribochemical silica abrasion (TS) was used as the control. Y-TZP specimens from each experimental group were etched with 5%, 10%, 20%, and 40% HF solutions at room temperature for 10 minutes. First, to quantify the phase transformation, Y-TZP specimens (n = 5) treated with TS, 5%, 10%, 20% and 40% HF solutions were subjected to X-ray diffraction. Second, to evaluate the change in bond strength before and after thermal cycling, zirconia primer and MDP-containing resin cement were sequentially applied to the Y-TZP specimen. After 5,000 thermal cycles for half of the Y-TZP specimens, shear bond strength was measured for all experimental groups (n = 10). Results: The monoclinic phase content in the 40% HF-treated group was higher than that of the 5%, 10%, and 20% HF-treated groups, but lower than that of TS-treated group (p < 0.05). The 40% HF-treated group showed significantly higher bonding strength than the TS, 5%, and 10% HF-treated groups, even after thermal cycling (p < 0.05). Conclusions: Through this experiment, the group treated with SiO2 containing air-borne abrasion on the Y-TZP surface showed higher phase transformation and higher reduction in bonding strength after thermal cycling compared to the group treated with high concentration HF.

Analysis of Shear Stress Type Piezoresistive Characteristics in Silicon Diaphragm Structure (실리콘 다이아프램 구조에서 전단응력형 압전저항의 특성 분석)

  • Choi, Chae-Hyoung;Choi, Deuk-Sung;Ahn, Chang-Hoi
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.25 no.3
    • /
    • pp.55-59
    • /
    • 2018
  • In this paper, we investigated the characteristics of shear stress type piezoresistor on a diaphragm structure formed by MEMS (Microelectromechanical System) technology of silicon-direct-bonding (SDB) wafers with Si/$SiO_2$/Si-sub. The diaphragm structure formed by etching the backside of the wafer using a TMAH aqueous solution can be used for manufacturing various sensors. In this study, the optimum shape condition of the shear stress type piezoresistor formed on the diaphragm is found through ANSYS simulation, and the diaphragm structure is formed by using the semiconductor microfabrication technique and the shear stress formed by boron implantation. The characteristics of the piezoelectric resistance are compared with the simulation results. The sensing diaphragm was made in the shape of an exact square. It has been experimentally found that the maximum shear stress for the same pressure at the center of the edge of the diaphragm is generated when the structure is in the exact square shape. Thus, the sensing part of the sensor has been designed to be placed at the center of the edge of the diaphragm. The prepared shear stress type piezoresistor was in good agreement with the simulation results, and the sensitivity of the piezoresistor formed on the $2200{\mu}m{\times}2200{\mu}m$ diaphragm was $183.7{\mu}V/kPa$ and the linearity of 1.3 %FS at the pressure range of 0~100 kPa and the symmetry of sensitivity was also excellent.