• Title/Summary/Keyword: Semiconductor etching process

Search Result 253, Processing Time 0.025 seconds

Prediction of Residual Layer Thickness of Large-area UV Imprinting Process (대면적 UV 임프린팅 공정에서 잔류층 두께 예측)

  • Kim, Kug Weon
    • Journal of the Semiconductor & Display Technology
    • /
    • v.12 no.2
    • /
    • pp.79-84
    • /
    • 2013
  • Nanoimprint lithography (NIL) is the next generation photolithography process in which the photoresist is dispensed onto the substrate in its liquid form and then imprinted and cured into a desired pattern instead of using traditional optical system. There have been considerable attentions on NIL due to its potential abilities that enable cost-effective and high-throughput nanofabrication to the display device and semiconductor industry. Although one of the current major research trends of NIL is large-area patterning, the technical difficulties to keep the uniformity of the residual layer become severer as the imprinting area increases more and more. In this paper, with the rolling type imprinting process, a mold, placed upon the $2^{nd}$ generation TFT-LCD glass sized substrate($370{\times}470mm^2$), is rolled by a rubber roller to achieve a uniform residual layer. The prediction of residual layer thickness of the photoresist by rolling of the rubber roller is crucial to design the rolling type imprinting process, determine the rubber roller operation conditions-mpressing force & feeding speed, operate smoothly the following etching process, and so forth. First, using the elasticity theory of contact problem and the empirical equation of rubber hardness, the contact length between rubber roller and mold is calculated with consideration of the shape and hardness of rubber roller and the pressing force to rubber roller. Next, using the squeeze flow theory to photoresist flow, the residual layer thickness of the photoresist is calculated with information of the viscosity and initial layer thickness of photoresist, the shape of mold pattern, feeding speed of rubber roller, and the contact length between rubber roller and mold previously calculated. Last, the effects of rubber roller operation conditions, impressing force & feeding speed, on the residual layer thickness are analyzed with consideration of the shape and hardness of rubber roller.

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • v.14 no.4
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Effect of the Si-adhesive layer defects on the temperature distribution of electrostatic chuck (Si-adhesive 층의 불량에 따른 정전척 온도분포)

  • Lee, Ki Seok
    • Journal of the Semiconductor & Display Technology
    • /
    • v.11 no.2
    • /
    • pp.71-74
    • /
    • 2012
  • Uniformity of the wafer temperature is one of the important factors in etching process. Plasma, chucking force, backside helium pressure and the surface temperature of ESC(electrostatic chuck) affect the wafer temperature. ESC consists of several layers of structure. Each layer has own thermal resistance and the Si-adhesive layer has highest thermal resistance among them. In this work, the temperature distribution of ESC was analyzed by 3-D FEM with various defects and the thickness deviation of the Si-adhesive layer. The result with Si-adhesive layer with the low center thickness deviation shows modified temperature distribution of ESC surface.

MICP(Multi-pole Inductively Coupled Plasma)를 이용한 deep contact etch 특성 연구

  • 김종천;구병희;설여송
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2003.05a
    • /
    • pp.12-17
    • /
    • 2003
  • 본 연구에서는 MICP Etching system 을 이용한 Via contact 및 Deep contact hole etch process 특성을 연구하였다. Langmuir probe 를 이용한 MICP source 의 Plasma density & electron temperature 측정하였고 탄소와 플로우르를 포함하는 혼합 Plasma 를 형성하여 RF frequency, wall temperature, chamber gap, gas chemistry 등의 변화에 따른 식각 특성을 조사하였다. Plasma density 는 1000w 에서 $10^{11}$/$cm^3$ 이상의 high density plasma와 uniform plasma 형성을 확인하였고 $CH_{2}F_{2}$와 CO의 적절한 혼합비를 이용하여 Oxide to PR 선택비가 10 이상인 고선택비 조건을 확보하였다. 고선택비 형성에 따라 Polymer 형성이 많이 되었고 이를 개선하기 위하여 반응 챔버의 온도 조절을 통하여 Polymer 증착 방지에 효과적인 것을 확인하였다. MICP source를 이용하여 탄소와 플로우르의 혼합 가스와 식각 챔버의 온도 조절에 의한 선택비 증가를 확보하여 High Aspect Ratio Contact Hole Etch 가능성을 확보하였다.

  • PDF

Waste Minimization Technology Trends in Semiconductor Industries (반도체 제조 공정에서의 환경 유해성 배출물 절감 기술 동향)

  • Lee, Hyunjoo;Yi, Jongheop
    • Clean Technology
    • /
    • v.4 no.1
    • /
    • pp.6-23
    • /
    • 1998
  • Recently, semiconductor industry has grown rapidly because of the large demand for electronic devices and equipment. The semiconductor industries have also played an important role on the economic growth in Korea. As the environmental regulations become strict, the proper environmental management and the well-developed waste minimization technologies in semiconductor industries are two of urgent problems to be solved. The semiconductor manufacturing process consists of a series of continuous chemical processes, such as cleaning, oxidation, diffusion, photolithography, etching and film deposition. During the processes, various environmentally hazardous wastes are produced. The wastes may be classified as wastewater, gaseous pollutants, and solid wastes. For waste minimization, the substitution of raw materials and process optimization techniques are used, while the selective destruction technologies of toxic chemicals contained in the wastes have been reported. Also, new technologies have been developed for source reduction and waste reduction, such as reduction of toxic chemical use and substitution of hazardous liquids with gaseous reactants or solvent.

  • PDF

Development of Polygonal Model for Shape-Deformation Analysis of Amorphous Carbon Hard Mask in High-Density Etching Plasma (고밀도 식각 플라즈마에서 비정질 탄소 하드 마스크의 형상 변형 해석을 위한 다각형 모델 개발)

  • Song, Jaemin;Bae, Namjae;Park, Jihoon;Ryu, Sangwon;Kwon, Ji-Won;Park, Taejun;Lee, Ingyu;Kim, Dae-Chul;Kim, Jong-Sik;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.4
    • /
    • pp.53-58
    • /
    • 2022
  • Shape changes of hard mask play a key role in the aspect ratio dependent etch (ARDE). For etch process using high density and energy ions, deformation of hard mask shape becomes more severe, and high aspect ratio (HAR) etch profile is distorted. In this study, polygonal geometric model for shape-deformation of amorphous carbon layered hard mask is suggested to control etch profile during the process. Mask shape is modeled with polygonal geometry consisting of trapezoids and rectangles, and it provides dynamic information about angles of facets and etched width and height of remained mask shape, providing important features for real-time HAR etch profiling.

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

  • Lee, Ho Jae;Seo, Dong-Sun;May, Gary S.;Hong, Sang Jeen
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.4
    • /
    • pp.395-401
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for leak detection in plasma etching system. A misprocessing is reported for significantly reduced silicon etch rate with chlorine gas, and OES is used as a supplementary sensor to analyze the gas phase species that reside in the process chamber. Potential cause of misprocessing reaches to chamber O-ring wear out, MFC leaks, and/or leak at gas delivery line, and experiments are performed to funnel down the potential of the cause. While monitoring the plasma chemistry of the process chamber using OES, the emission trace for nitrogen species is observed at the chlorine gas supply. No trace of nitrogen species is found in other than chlorine gas supply, and we found that the amount of chlorine gas is slightly fluctuating. We successfully found the root cause of the reported misprocessing which may jeopardize the quality of thin film processing. Based on a quantitative analysis of the amount of nitrogen observed in the chamber, we conclude that the source of the leak is the fitting of the chlorine mass flow controller with the amount of around 2-5 sccm.

Fault Detection with OES and Impedance at Capacitive Coupled Plasmas

  • Choe, Sang-Hyeok;Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.499-499
    • /
    • 2012
  • This study was evaluated on etcher of capacitive coupled plasmas with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and C4F8 plasma with variable change such as pressure and addition of gas (Atmospheric Leak: N2 and O2), RF, pressure, that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by Vi probe was analyzed by statistical method to determine healthy of process. The main goal of this study is to understand unwanted tool performance to eventually improve productive capability. It is important for process engineers to actively adjust tool parameter before any serious problem occurs.

  • PDF

Influence of Plasma Corrosion Resistance of Y2O3 Coated Parts by Cleaning Process (세정공정에 따른 Y2O3 코팅부품의 내플라즈마성 영향)

  • Kim, Minjoong;Shin, Jae-Soo;Yun, Ju-Young
    • Journal of the Korean institute of surface engineering
    • /
    • v.54 no.6
    • /
    • pp.365-370
    • /
    • 2021
  • In this research, we proceeded with research on plasma resistance of the cleaning process of APS(Atmospheric Plasma Spray)-Y2O3 coated parts used for semiconductor and display plasma process equipment. CF4, O2, and Ar mixed gas were used for the plasma environment, and respective alconox, surfactant, and piranha solution was used for the cleaning process. After APS-Y2O3 was exposed to CF4 plasma, the surface changed from Y2O3 to YF3 and a large amount of carbon was deposited. For this reason, the plasma corrosion resistance was lowered and contamination particles were generated. We performed a cleaning process to remove the defect-inducing surface YF3 layer and carbon layer. Among three cleaning solutions, the piranha cleaning process had the highest detergency and the alconox cleaning process had the lowest detergency. Such results could be confirmed through the etching amount, morphology, composition, and accumulated contamination particle analysis results. Piranha cleaning process showed the highest detergency, but due to the very large thickness reduction, the base metal was exposed and a large number of contaminated particles were generated. In contrast, the surfactant cleaning process exhibit excellent properties in terms of surface detergency, etching amount, and accumulated contamination particle analysis.

Optically Controlled Silicon MESFET Fabrication and Characterizations for Optical Modulator/Demodulator

  • Chattopadhyay, S.N.;Overton, C.B.;Vetter, S.;Azadeh, M.;Olson, B.H.;Naga, N. El
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.10 no.3
    • /
    • pp.213-224
    • /
    • 2010
  • An optically controlled silicon MESFET (OPFET) was fabricated by diffusion process to enhance the quantum efficiency, which is the most important optoelectronic device performance usually affected by ion implantation process due to large number of process induced defects. The desired impurity distribution profile and the junction depth were obtained solely with diffusion, and etching processes monitored by atomic force microscope, spreading resistance profiling and C-V measurements. With this approach fabrication induced defects are reduced, leading to significantly improved performance. The fabricated OPFET devices showed proper I-V characteristics with desired pinch-off voltage and threshold voltage for normally-on devices. The peak photoresponsivity was obtained at 620 nm wavelength and the extracted external quantum efficiency from the photoresponse plot was found to be approximately 87.9%. This result is evidence of enhancement of device quantum efficiency fabricated by the diffusion process. It also supports the fact that the diffusion process is an extremely suitable process for fabrication of high performance optoelectronic devices. The maximum gain of OPFET at optical modulated signal was obtained at the frequency of 1 MHz with rise time and fall time approximately of 480 nS. The extracted transconductance shows the possible potential of device speed performance improvements for shorter gate length. The results support the use of a diffusion process for fabrication of high performance optoelectronic devices.