• Title/Summary/Keyword: Semiconductor etching process

Search Result 256, Processing Time 0.022 seconds

Facilitation of the four-mask process by the double-layered Ti/Si barrier metal for oxide semiconductor TFTs

  • Hino, Aya;Maeda, Takeaki;Morita, Shinya;Kugimiya, Toshihiro
    • Journal of Information Display
    • /
    • v.13 no.2
    • /
    • pp.61-66
    • /
    • 2012
  • The double-layered Ti/Si barrier metal is demonstrated for the source/drain Cu interconnections in oxide semiconductor thin-film transistors (TFTs). The transmission electromicroscopy and ion mass spectroscopy analyses revealed that the double-layered barrier structure suppresses the interfacial reaction and the interdiffusion at the interface after thermal annealing at $350^{\circ}C$. The underlying Si layer was found to be very useful for the etch stopper during wet etching for the Cu/Ti layers. The oxide TFTs with a double-layered Ti/Si barrier metal possess excellent TFT characteristics. It is concluded that the present barrier structure facilitates the back-channel-etch-type TFT process in the mass production line, where the four- or five-mask process is used.

Matching Improvement of RF Matcher for Plasma Etcher (식각장비의 RF 정합모듈 성능 개선)

  • Sul, Yong-Tae;Lee, Eui-Yong;Kwon, Hyuk-Min
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.9 no.2
    • /
    • pp.327-332
    • /
    • 2008
  • New RF matcher module has been proposed in this paper for improvement of RF matcher in plasma etcher system using in semiconductor and display panel manufacturing process. New designed warm gear was used instead of bevel gear in new driving module, and control system was re-arranged with one-chip micro-process technique. The matching performance of new match module was improved in various process condition with reduction of backlash and matching time, and flexible motion of motor compared commercial match module. However this new type RF match module will improve the productivity in etching process of the mass production line.

A Study on Modified Silicon Surface after $CHF_3/C_2F_6$ Reactive Ion Etching

  • Park, Hyung-Ho;Kwon, Kwang-Ho;Lee, Sang-Hwan;Koak, Byung-Hwa;Nahm, Sahn;Lee, Hee-Tae;Kwon, Oh-Joon;Cho, Kyoung-Ik;Kang, Young-Il
    • ETRI Journal
    • /
    • v.16 no.1
    • /
    • pp.45-57
    • /
    • 1994
  • The effects of reactive ion etching (RIE) of $SiO_2$ layer in $CHF_3/C_2F_6$ on the underlying Si surface have been studied by X-ray photoelectron spectroscopy (XPS), secondary ion mass spectrometer, Rutherford backscattering spectroscopy, and high resolution transmission electron microscopy. We found that two distinguishable modified layers are formed by RIE : (i) a uniform residue surface layer of 4 nm thickness composed entirely of carbon, fluorine, oxygen, and hydrogen with 9 different kinds of chemical bonds and (ii) a contaminated silicon layer of about 50 nm thickness with carbon and fluorine atoms without any observable crystalline defects. To search the removal condition of the silicon surface residue, we monitored the changes of surface compositions for the etched silicon after various post treatments as rapid thermal anneal, $O_2$, $NF_3$, $SF_6$, and $Cl_2$ plasma treatments. XPS analysis revealed that $NF_3$ treatment is most effective. With 10 seconds exposure to $NF_3$ plasma, the fluorocarbon residue film decomposes. The remained fluorine completely disappears after the following wet cleaning.

  • PDF

Research on Fabrication of Silicon Lens for Optical Communication by Photolithography Process (포토리소그래피를 통한 광통신용 실리콘 렌즈 제작 및 특성 연구)

  • Park, Junseong;Lee, Daejang;Rho, Hokyun;Kim, Sunggeun;Heo, Jaeyeong;Ryu, Sangwan;Kang, Sung-Ju;Ha, Jun-Seok
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.25 no.2
    • /
    • pp.35-39
    • /
    • 2018
  • In order to improve the coupling efficiency, a collimator lens that collects the light emitted from the laser diode at a wide angle to the core of the optical fiber is essential. Glass mold method using a mold is widely used as a collimator lens currently used. Although this method is inexpensive to produce, it is difficult to form precisely and quality problems such as spherical aberration. In this study, the precision of surface processing was improved by replacing the existing glass mold method with the semiconductor process, and the material of the lens was changed to silicon suitable for the semiconductor process. The semiconductor process consists of a photolithography process using PR and a dry etching process using plasma. The optical coupling efficiency was measured using an ultra-precision alignment system for the evaluation of the optical characteristics of the silicon lens. As a result, the optical coupling efficiency was 50% when the lens diameter was $220{\mu}m$, and the optical coupling property was 5% or less with respect to the maximum optical coupling efficiency in the lens diameter range of $210-240{\mu}m$.

Double Texturing of Glass Substrate and ZnO : Al Transparent Electrode Surfaces for High Performance Thin Film Solar Cells (고성능 박막태양전지를 위한 유리 기판 및 산화 아연 투명 전극의 2중 구조 표면 조직화 공정 연구)

  • Kang, Dong-Won
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.66 no.8
    • /
    • pp.1230-1235
    • /
    • 2017
  • We studied surface texture-etching of glass substrate by using reactive ion etching process with various working pressure (0.7~9.0 mT). With the increase in the pressure, a haze parameter, which means diffusive transmittance/total transmittance, was increased in overall wavelength regions, as measured by spectrophotometer. Also, atomic force microscopy (AFM) study also showed that the surface topography transformed from V-shaped, keen surface to U-shaped, flattened surface, which is beneficial for nanocrystalline silicon semiconductor growth with suppressing defective crack formation. The texture-etched ZnO:Al combined with textured glass exhibited pronounced haze properties that showed 60~90 % in overall spectral wavelength regions. This promising optical properties of double textured, transparent conducting substrate can be widely applied in silicon thin film photovoltaics and other optoelectronic devices.

A study on Safety Management and Control in Wet-Etching Process for H2O2 Reactions (습식 에칭 공정에서의 과산화수소 이상반응에 대한 안전 대책 및 제어에 관한 연구)

  • Yoo, Heung-Ryol;Son, Yung-Deug
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.19 no.4
    • /
    • pp.650-656
    • /
    • 2018
  • The TFT-LCD industry is a kind of large-scale industrial Giant Microelectronics device industry and has a similar semiconductor process technology. Wet etching forms a relatively large proportion of the entire TFT process, but the number of published research papers on this topic is limited. The main reason for this is that the components of the etchant, in which the reaction takes place, are confidential and rarely publicized. Aluminum (Al) and copper (Cu), which have been used in recent years for the manufacture of large area LCDs, are very difficult materials to process using wet etching. Cu, a low-resistance material, can only be used in the wet etching process, and is used as a substitute for Al due to its high speed etching, low failure rate, and low power consumption. Further, the abnormal reaction of hydrogen peroxide ($H_2O_2$), which is used as an etching solution, requires additional piping and electrical safety devices. This paper proposes a method of minimizing the damage to the plant in the case of adverse reactions, though it cannot limit the adverse reaction of hydrogen peroxide. In recent years, there have been many cases in which aluminum etching equipment has been changed to copper. This paper presents a countermeasure against abnormal reactions by implementing safety PLC with a high safety grade.

Plasma etching behavior of RE-Si-Al-O glass (RE: Y, La, Gd)

  • Lee, Jeong-Gi;Hwang, Seong-Jin;Lee, Seong-Min;Kim, Hyeong-Sun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2010.05a
    • /
    • pp.49.1-49.1
    • /
    • 2010
  • The particle generation during the plasma enhanced process is highly considered as serious problem in the semiconductor manufacturing industry. The material for the plasma processing chamber requires the plasma etching characteristics which are homogeneously etched surface and low plasma etching depth for preventing particulate contamination and high durability. We found that the materials without grain boundaries can prevent the particle generation. Therefore, the amorphous material with the low plasma etching rate may be the best candidate for the plasma processing chamber instead of the polycrystalline materials such as yttria and alumina. Three glasses based on $SiO_2$ and $Al_2O_3$ were prepared with various rare-earth elements (Gd, Y and La) which are same content in the glass. The glasses were plasma etched in the same condition and their plasma etching rate was compared including reference materials such as Si-wafer, quartz, yttria and alumina. The mechanical and thermal properties of the glasses were highly related with cationic field strength (CFS) of the rare-earth elements. We assumed that the plasma etching resistance may highly contributed by the thermal properties of the fluorine byproducts generated during the plasma exposure and it is expected that the Gd containing glass may have the highest plasma etching resistance due to the highest sublimation temperature of $GdF_3$ among three rare-earth elements (Gd, Y and La). However, it is found that the plasma etching results is highly related with the mechanical property of the glasses which indicates the cationic field strength. From the result, we conclude that the glass structure should be analyzed and the plasma etching test should be conducted with different condition in the future to understand the plasma etching behavior of the glasses perfectly.

  • PDF

Gas Flow Rate Dependency of Etching Result: Use of VI Probe for Process Monitoring (가스 유량 변화에 따른 식각 공정 결과: VI Probe 활용 가능성 제안)

  • Song, Wan Soo;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.3
    • /
    • pp.27-31
    • /
    • 2021
  • VI probe, which is one of various in-situ plasma monitoring sensor, is frequently used for in-situ process monitoring in mass production environment. In this paper, we correlated the plasma etch results with VI probe data with the small amount of gas flow rate changes to propose usefulness of the VI probe in real-time process monitoring. Several different sized contact holes were employed for the etch experiment, and the etched profiles were measured by scanning electron microscope (SEM). Although the shape of etched hole did not show satisfactory relationship with VI probe data, the chamber status changed along the incremental/decremental modification of the amount of gas flow was successfully observed in terms of impedance monitoring.

High-Density Hollow Cathode Plasma Etching for Field Emission Display Applications

  • Lee, Joon-Hoi;Lee, Wook-Jae;Choi, Man-Sub;Yi, Joon-Sin
    • Journal of Information Display
    • /
    • v.2 no.4
    • /
    • pp.1-7
    • /
    • 2001
  • This paper investigates the characteristics of a newly developed high density hollow cathode plasma(HCP) system and its application for the etching of silicon wafers. We used $SF_6$ and $O_2$ gases in the HCP dry etch process. This paper demonstrates very high plasma density of $2{\times}10^{12}cm^{-3}$ at a discharge current of 20 rna, Silicon etch rate of 1.3 ${\mu}m$/min was achieved with $SF_6/O_2$ plasma conditions of total gas pressure of 50 mTorr, gas flow rate of 40 seem, and RF power of200W. This paper presents surface etching characteristics on a crystalline silicon wafer and large area cast type multicrystlline silicon wafer. We obtained field emitter tips size of less than 0.1 ${\mu}m$ without any photomask step as well as with a conventional photolithography. Our experimental results can be applied to various display systems such as thin film growth and etching for TFT-LCDs, emitter tip formations for FEDs, and bright plasma discharge for PDP applications. In this research, we studied silicon etching properties by using the hollow cathode plasma system.

  • PDF

Study on Thermal Properties and Plasma Resistance of MgO-Al2O3-SiO2 Glass (MgO-Al2O3-SiO2계 유리의 열물성과 내플라즈마성 연구)

  • Yoon, Ji Sob;Choi, Jae Ho;Jung, YoonSung;Min, Kyung Won;Im, Won Bin;Kim, Hyeong-Jun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.2
    • /
    • pp.61-66
    • /
    • 2021
  • In this study, we studied the alternative of plasma resistant ceramic parts that constitute plasma chambers in the semiconductor dry etching process. MgO-Al2O3-SiO2(MAS) glass was made of 13 types of glass using the Design Of Experiments(DOE) and the effect on thermal properties such as glass transition temperature and crystallization temperature depending on the content of each composition and etching resistance to CF4/O2/Ar plasma gas. MAS glass showed excellent plasma resistance and surface roughness up to 20 times higher than quartz glass. As the content of Al2O3 and MgO increases, the plasma resistance is improved, and it has been confirmed that it has an inverse relationship with SiO2.