• 제목/요약/키워드: Self-aligned process

검색결과 86건 처리시간 0.025초

자기정렬공정에 의한 GaAs/AlGaAs 광위상변조기의 제작 및 특성 측정 (Chatacterization of GaAs/AlGaAs optical phase modulator fabricated by self-aligned process)

  • 김병성;정영철;변영태;박경현;김선호;임동건
    • 한국광학회지
    • /
    • 제7권3호
    • /
    • pp.287-294
    • /
    • 1996
  • MOCVD(metal-organic chemical vapour deposition)방법으로 성장시킨 이중 이종접합구조 GaAs/AlGaAs 웨이퍼를 이용하여 광위상변조기를 제작하였다. 제작과정에서 도파로와 절연층의 형성시 동일 포토레지스트 패턴을 이용하는 자기종렬공정을 개발하여 그 효용성을 입증하였다. Fabry-Perot 간섭법을 이용하여 변조기의 위상변조효율을 측정하였으며 1.31.mu.m파장에서 TE 편광의 경우 22.5.deg./Vmm의 위상변조특성을 얻었다.

  • PDF

Characterization and Design Consideration of 80-nm Self-Aligned N-/P-Channel I-MOS Devices

  • Choi, Woo-Young;Lee, Jong-Duk;Park, Byung-Gook
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제6권1호
    • /
    • pp.43-51
    • /
    • 2006
  • 80-nm self-aligned n-and p-channel I-MOS devices were demonstrated by using a novel fabrication method featuring double sidewall spacer, elevated drain structure and RTA process. The fabricated devices showed a normal transistor operation with extremely small subthreshold swing less than 12.2 mV/dec at room temperature. The n- and p-channel I-MOS devices had an ON/OFF current of 394.1/0.3 ${\mu}A$ and 355.4/8.9 ${\mu}A$ per ${\mu}m$, respectively. We also investigated some critical issues in device design such as the junction depth of the source extension region and the substrate doping concentration.

자기 정열과 수소 어닐링 기술을 이용한 고밀도 트랜치 게이트 전력 DMOSFET의 전기적 특성 분석 (Analysis of Electrical Characteristics of High-Density Trench Gate Power DMOSFET Utilizing Self-Align and Hydrogen Annealing Techniques)

  • 박훈수;김종대;김상기;이영기
    • 한국전기전자재료학회논문지
    • /
    • 제16권10호
    • /
    • pp.853-858
    • /
    • 2003
  • In this study, a new simplified technology for fabricating high density trench gate DMOSFETs using only three mask layers and TEOS/nitride spacer is proposed. Due to the reduced masking steps and self-aligned process, this technique can afford to fabricate DMOSFETs with high cell density up to 100 Mcell/inch$^2$ and cost-effective production. The resulting unit cell pitch was 2.3∼2.4${\mu}$m. The fabricated device exhibited a excellent specific on-resistance characteristic of 0.36m$\Omega$. cm$^2$ with a breakdown voltage of 42V. Moreover, time to breakdown of gate oxide was remarkably increased by the hydrogen annealing after trench etching.

다결정 실리콘 자기정렬에 의한 바이폴라 트랜지스터의 제작 (The Fabrication of Polysilicon Self-Aligned Bipolar Transistor)

  • 채상훈;구용서;이진효
    • 대한전자공학회논문지
    • /
    • 제23권6호
    • /
    • pp.741-746
    • /
    • 1986
  • A novel n-p-n bipolar transistor of which emitter is self-aligned with base contact by polyilicon is developed for using in high speed and high packing density LSI circuits. The emitter of this transistor is separated less than 0.4 \ulcorner with base contact by self-aligh technology, and the emitter feature size is less than 3x5 \ulcorner\ulcorner Because the active region of this transistor is not damaged through all the process, it has excellent electric properties. Using the n-p-n transistors by 3.0\ulcorner design rules, a NTL ring oscillator has 380 ps, a CML ring oscillator has 390ps, and a I\ulcorner ring oscillator has 5.6ns of per-gate minimum propagation delay time.

  • PDF

Fabrication of Functional Nanomaterials by Peptide Self-Assembly

  • 박찬범
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 춘계학술발표대회
    • /
    • pp.8.1-8.1
    • /
    • 2009
  • The self-assembly of peptide-based building blocks into nanostructures is an attractive route for fabricating novel materials because of their capacity for molecular recognition and functional flexibility as well as the mild conditions required in the fabrication process. Among various peptide-based building blocks forming nanostructures, the simplest building blocks are aromatic dipeptides like diphenylalanine, which can readily self-assemble into nanotubes in aqueous solutions at ambient conditions. Recently, we have developed a high-temperature solid-phase self-assembly process for diphenylalanine. Through this novel process, we succeeded in the growth of vertically well-aligned, uniform nanowires from amorphous peptide thin film. To demonstrate the versatility of our approach, we also fabricated a micropattern of peptide nanowires by combining our solid-phase growth method and simple soft lithographic techniques. We believe that our studies on peptide self-assembly will provide a new horizon for peptide-based nanofabrication.

  • PDF

Polysilicon Thin Film Transistors on spin-coated Polyimide layer for flexible electronics

  • Pecora, A.;Maiolo, L.;Cuscuna, M.;Simeone, D.;Minotti, A.;Mariucci, L.;Fortunato, G.
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2007년도 7th International Meeting on Information Display 제7권1호
    • /
    • pp.261-264
    • /
    • 2007
  • We developed a non self-aligned poly-silicon TFTs fabrication process at two different temperatures on spin-coated polyimide layer above Si-wafer. After TFTs fabrication, the polyimide layer was mechanically released from the Si-wafer and the devices characteristics were compared. In addition self-heating and hot-carrier induced instabilities were analysed.

  • PDF

자동 정렬 펀칭 시스템의 개발과 디버링 (Development of auto-alignment punching system and de-burring)

  • 홍남표;신홍규;김병희;김헌영
    • 한국소성가공학회:학술대회논문집
    • /
    • 한국소성가공학회 2003년도 춘계학술대회논문집
    • /
    • pp.434-438
    • /
    • 2003
  • The shearing process for the sheet metal is normally used in the precision elements such as semi-conductor components. In these precision elements, the burr formation brings a bad effect on the system assembly and demands the additional de-burring process. In this paper, we have developed the desktop-type precision punching system to investigate the burr formation mechanism and present kinematically Punch-die auto aligning methodology, for the purpose of burr unifomizing and minimizing, between the rectangular shaped punch and die. By using the scanning electron microscope, the aligned punching results are compared with the miss-aligned ones. Also, we measured the relative burr heights using the self-designed laser measuring device for insitu self aligning. Since it is hard to get the perfect, so called, burr-free edges during the shearing process, we introduced the ultrasonic do-burring machine. The de-burring operation was carried out by a novel do-burring method, the reversal flow resistance method, under different machining loads and abrasive types. The final do-burring results show the validity of our punching do-burring system pursuing the burr-free punched elements.

  • PDF

Smart power IC용 RESURF EDMOSFETs의 제조공정과 최적설계 (The fabrication process and optimum design of RESURF EDMOSFETs for smart power IC applications)

  • 정훈호;권오경
    • 전자공학회논문지A
    • /
    • 제33A권7호
    • /
    • pp.176-184
    • /
    • 1996
  • To overcome the drawbacks of conventional LDMOSFETs, we propose RESURF EDMOSFETs which can be adapted in varous circuit applications, be driven without charge pumping circuity and thowe threshold voltage can be adjusted. The devices have the diffused drift region formed by a high tmperature process before the gate oxidaton. After the polysilicon gate electrode formation, a fraction of the drift region around the gate edge is opened for supplemental self-aligned ion implantation to obtain self-aligned drift region. This leads to a shorter gate length and desirable drift region junction contour under the gate edge for minimum specific-on-resistance. In additon, a and maximize the breakdown voltage. Also, by biasing the metal field plate, we can reduce the specific-on-resistance further. The devices are optimized by using the TSUPREM-4 process simulator and the MEDICI device simulator. The optimized devices have the breakdwon voltage and the specific-on-resistance of 101.5V and 1.14m${\Omega}{\cdot}cm^{2}$, respectively for n-channel RESURF EDMOSFET, and 98V and 2.75m.ohm..cm$^{2}$ respectively for p-channel RESURF EDMOSFET. To check the validity of the simulations, we fabricated n-channel EDMOSFETs and confirmed the measured breakdown voltage of 97V and the specific-on-resistance of 1.28m${\Omega}{\cdot}cm^{2}$. These results are superior to those of any other reported power devices for smart power IC applications.

  • PDF

대기압 플라즈마 발생용 마이크로 전극 제작 및 저전압 동작 특성 (Stable Atmospheric Plasma Generation at a Low Voltage using a Microstructure Array)

  • 한성호;김영민;김재혁
    • 전기학회논문지
    • /
    • 제56권4호
    • /
    • pp.773-776
    • /
    • 2007
  • A microstructure array has been proposed for micro plasma generation using electroplating and double exposed process. A stable atmospheric plasma has been generated at a low voltage by utilizing the micro electrode gap. Self-aligned microstructure can provide uniform electrode overlap with precisely controlled gap between the electrodes. The proposed structure allows for triode operation, which can expand the generated plasma over a large area by applying a lateral electric field. Electrical characteristics of the micro triode confirm the large numbers of the plasma ions are drifted to the secondary cathode by the lateral electrical field.