• Title/Summary/Keyword: SPOES

Search Result 6, Processing Time 0.023 seconds

Chamber Monitoring with Residual Gas Analysis with Self-Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Lee, Hak-Seung;Park, Jeong-Geon;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.262.2-262.2
    • /
    • 2014
  • Plasma processing is an essential process for pattern etching and thin film deposition in nanoscale semiconductor device fabrication. It is necessary to maintain plasma chamber in steady-state in production. In this study, we determined plasma chamber state with residual gas analysis with self-plasma optical emission spectroscopy. Residual gas monitoring of fluorocarbon plasma etching chamber was performed with self-plasma optical emission spectroscopy (SPOES) and various chemical elements was identified with a SPOES system which is composed of small inductive coupled plasma chamber for glow discharge and optical emission spectroscopy monitoring system for measuring optical emission. This work demonstrates that chamber state can be monitored with SPOES and this technique can potentially help maintenance in production lines.

  • PDF

SELF-PALSMA OES의 능동형 오염 방지 기법

  • Kim, Nam-Sik
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.82.1-82.1
    • /
    • 2013
  • SPOES(Self Plasma Optical Emission Spectroscopy)는 반도체 및 LCD 제조 장비의 Foreline에 장착되는 센서로써, Foreline에 흐르는 Gas를 이온화시켜 이때 발생되는 빛을 분광시켜 공정의 상태 및 장비의 상태등을 종합적으로 점검할 수 있는 센서입니다. SPOES의 최대 장점은 공정 장비에 영향을 주기 않으면서 공정을 진단할 수 있고, 장비의 메인챔버에서 플라즈마 방전이 발생하지 않는 RPS (Remote Plasma System)등에 적용이 가능하며, 설치 및 분해이동과 운용이 용이한 장점이 있습니다. 하지만, SPOES는 오염성 가스 및 물질에 의한 오염에 취약한 단점이 있습니다. 예컨대, 플라즈마 방전에 의한 부산물들이 SPOES의 내부에 있는 윈도우의 렌즈에 부착되어 감도를 저하시켜, SEOES의 수명을 단축시킵니다. 또한 오염 물질이 SPOES 내부의 방전 CHAMBER에 증착되어 플라즈마 방전 효울을 저하시켜 센서의 효율을 저하시킵니다. 예를들면, 장비의 공정 챔버에서 배출되는 탄소와 같은 비금속성 오염물질과 텅스텐과 같은 금속성 오염물질이 SPOES의 방전 CHAMBER 내벽과 윈도우에 증착되어 오염을 유발합니다. 오염이 진행된 SPOES는 방전 CHAMBER의 오염으로 CHAMBER의 유전율을 변화시켜, 플라즈마 방전 효율의 저하를 가져오고, 윈도우의 오염은 빛의 투과율을 저하시켜, OES 신호의 감도를 저하시켜, SPOES 감도를 저하시키는 요인으로 작용합니다. 이러한 문제를 해결하기위한 방법으로 능동형 오염 방지 기술을 채용 하였습니다. 능동형 오염 방지 기법은 SPEOS의 방전 챔버에서 플라즈마 방전시 발생하는 진공의 밀도차를 이용하는 기술과 방전 챔버와 연결된 BYPASS LINE에 의해 발생되는 오염물질 자체 배기 시스템, 그리고 고밀도 플라즈마 방전을 일으키는 멀티 RF 기술 및 고밀도 방전을 일으키는 챔버 구조로 구성 되어 있습니다. 능동형 오염 방지 기법으로 반도체 공정에서 6개월 이상의 LIFETIME을 확보 할 수 있고, 고밀도 플라즈마로 인한 UV~NIR 영역의 감도 향상등을 확보 할 수 있습니다.

  • PDF

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

The Develop and Research of EPD system for the semiconductor fine pattern etching (반도체 미세 패턴 식각을 위한 EPD 시스템 개발 및 연구)

  • Kim, Jae Pil;Hwang, WooJin;Shin, Youshik;Nam, JinTaek;Kim, hong Min;Kim, chang Eun
    • Journal of the Korea Safety Management & Science
    • /
    • v.17 no.3
    • /
    • pp.355-362
    • /
    • 2015
  • There has been an increase of using Bosch Process to fabricate MEMS Device, TSV, Power chip for straight etching profile. Essentially, the interest of TSV technology is rapidly floated, accordingly the demand of Bosch Process is able to hold the prominent position for straight etching of Si or another wafers. Recently, the process to prevent under etching or over etching using EPD equipment is widely used for improvement of mechanical, electrical properties of devices. As an EPD device, the OES is widely used to find accurate end point of etching. However, it is difficult to maintain the light source from view port of chamber because of contamination caused by ion conflict and byproducts in the chamber. In this study, we adapted the SPOES to avoid lose of signal and detect less open ratio under 1 %. We use 12inch Si wafer and execute the through etching 500um of thickness. Furthermore, to get the clear EPD data, we developed an algorithm to only receive the etching part without deposition part. The results showed possible to find End Point of under 1 % of open ratio etching process.

The Study on In-situ Diagnosis of Chemical Vapor Deposition Processes (화학기상증착 진공공정의 실시간 진단연구)

  • Jeon, Ki-Moon;Shin, Jae-Soo;Lim, Sung-Kyu;Park, Sang-Hyun;Kang, Byoung-Koo;Yune, Jin-Uk;Yun, Ju-Young;Shin, Yong-Hyeon;Kang, Sang-Woo
    • Journal of the Korean Vacuum Society
    • /
    • v.20 no.2
    • /
    • pp.86-92
    • /
    • 2011
  • The diagnosis studies of the process of chemical vapor deposition were carried out by using in-situ particle monitor (ISPM) and self-plasma optical emission spectroscopy (SPOES). We used the two kinds of equipments such as the silicon plasma enhanced chemical vapor deposition system with silane gas and the borophosphosilicate glass depositon system for monitoring. Using two sensors, we tried to verify the diagnostic and in-situ sensing ability of by-product gases and contaminant particles at the deposition and cleaning steps. The processes were controlled as a function of precess temperature, operating pressure, plasma power, etc. and two sensors were installed at the exhaust line and contiguous with each other. the correlation of data (by-product species and particles) measured by sensors were also investigated.

An Analysis on the Wartime Sealift Operation Capability of Korea (한국의 전시 해상수송능력 분석)

  • 조윤철;이상진
    • Journal of the military operations research society of Korea
    • /
    • v.28 no.1
    • /
    • pp.29-46
    • /
    • 2002
  • This study focuses primarily on the construction of the wartime sealift operation model from US to Korea. There are some uncertainties in the process of sealift operation such as the procurement rate of materiel in US, the distribution of KFS on four initial position locations at the start of the activation, and the number of ports and berths in the SPOES and SPODS. The sealift capability, based on the allocation of sealift assets such as the number of vessels, berths, and ports, is evaluated through simulation. The simulation is executed with a baseline wartime scenario and then the results are analyzed through a sensitivity analysis. The military planner may use of this model as a standard for establishing effective and concrete sealift operation plan in the near future.