• Title/Summary/Keyword: Plasma etching process

Search Result 420, Processing Time 0.03 seconds

Experimental Study of Reactive Ion Etching of Tungsten Films Using $SF_6$ Plasma ($SF_6$플라즈마를 이용한 텅스텐 박막의 반응성이온식각에 관한 실험적 연구)

  • 박상규;서성우;이시우
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.30A no.7
    • /
    • pp.60-74
    • /
    • 1993
  • Experiments of RIE of tungsten films using SF$_{6}$ plasma were conducted to investigate the effect of process parameters on etch rate, uniformity, anisotropy, and selectivity. As power increased, the etch rate increased. Maximum etch rate was obtained at 200mtorr As interelectrode spacing increased the etch rate increased for P < 200mtorr while it decreased for P> 200mtorr. Etch rate was maximum at 20 sccm gas flow rate. As substrate temperature increased, the etch rate increased and activation energy was 0.046 eV. In addition, maximum etch rate was acquired at 20% $O_{2}$ addition. The etch rate slightly increased when Ar was added up to 20% while it continuously decreased when N$_{2}$ was added. Uniformity got improved as pressure decreased and was less than 4% for P <100mtorr. Mass spectrometer was utilized to analyze gas composition and S and F peaks were observed from XPS analysis with increasing power. The anisotropy was better for smaller power and spacing, and lower pressure and temperature. It improved when CH$_{4}$ was added and anisotropic etch profile was obtained when about 10% $O_{2}$ was added. The selectjvity was better for smaller power larger pressure and spacing, and lower temperature. Especially. low temperature processing was proposed as a novel method to improve the anisotropy and selectivity.

  • PDF

Surface Wettability in Terms of Prominence and Depression of Diverse Microstructures and Their Sizes (다양한 형태의 실리콘 미세 구조물을 이용한 초소수성 표면형상 구현)

  • Ha, Seon-Woo;Lee, Sang-Min;Jeong, Im-Deok;Jung, Phill-Gu;Ko, Jong-Soo
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.31 no.6 s.261
    • /
    • pp.679-685
    • /
    • 2007
  • Superhydrophobic surface, with a water contact angle greater than $150^{\circ}$, has a self-cleaning effect termed 'Lotus effect'. This surface is created by the combination of rough surface and the low surface energy. We proposed square pillar and square shapes to control surface roughness. Microstructure arrays are fabricated by DRIE(Deep Reactive Ion Etching) process and followed by PPFC(Plasma Polymerized Fluorocarbon) deposition. On the experimental result, contact angle at square pillar arrays is well matched with Cassie's model and largest contact angle is $173.37^{\circ}$. But contact angle of square pore shape arrays is lower than Cassie's theoretical contact angle about $5{\sim}10%$. Nevertheless, square pore arrays have more rigidity than square pillar arrays.

Micro-gap DBD Plasma and Its Applications

  • Zhang, Zhitao;Liu, Cheng;Bai, Mindi;Yang, Bo;Mao, Chengqi
    • Journal of the Speleological Society of Korea
    • /
    • no.76
    • /
    • pp.37-42
    • /
    • 2006
  • The Dielectric Barrier Discharge (DBD) is a nonequilibrium gas discharge that is generated in the space between two electrodes, which are separated by an insulating dielectric layer. The dielectric layer can be put on either of the two electrodes or be inserted in the space between two electrodes. If an AC or pulse high voltage is applied to the electrodes that is operated at applied frequency from 50Hz to several MHz and applied voltages from a few to a few tens of kilovolts rms, the breakdown can occur in working gas, resulting in large numbers of micro-discharges across the gap, the gas discharge is the so called DBD. Compared with most other means for nonequilibrium discharges, the main advantage of the DBD is that active species for chemical reaction can be produced at low temperature and atmospheric pressure without the vacuum set up, it also presents many unique physical and chemical process including light, heat, sound and electricity. This has led to a number of important applications such as ozone synthesizing, UV lamp house, CO2 lasers, et al. In recent years, due to its potential applications in plasma chemistry, semiconductor etching, pollution control, nanometer material and large area flat plasma display panels, DBD has received intensive attention from many researchers and is becoming a hot topic in the field of non-thermal plasma.

Photosensitive Barrier Rib Paste and Materials and Process

  • Park, Lee-Soon;Kim, Soon-Hak;Jang, Dong-Gyu;Kim, Duck-Gon;Hur, Young-June;Tawfik, Ayman
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07b
    • /
    • pp.823-827
    • /
    • 2005
  • Barrier ribs in the plasma display panel (PDP) function to maintain the discharge space between the glass plates as well as to prevent optical crosstalk. Patterning of barrier ribs is one of unique processes for making PDP. Barrier ribs could be formed by screen-printing, sand blasting, etching, and photolithographic process. In this work photosensitive barrier rib pastes were prepared by incorporating binder polymer, solvent, functional monomers photoinitiator, and barrier rib powder of which surface was treated with fumed silica particles. Studies on the function of materials for the barrier rib paste were undertaken. After optimization of paste formulation and photolithographic process, it was applied to the photosensitive barrier rib green sheet and was found that photolithographic patterning of barrier ribs could be formed with good resolution up to $110{\mu}m$ height and $60{\mu}m$ width after sintering.

  • PDF

Nanoscale Fluoropolymer Pattern Fabrication by Capillary Force Lithography for Selective Deposition of Copper

  • Baek, Jang-Mi;Lee, Rin;Seong, Myeong-Mo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.369-369
    • /
    • 2012
  • The present work deals with selective deposition of copper on fluoropolymers patterned silicon (111) surfaces. The pattern of fluoropolymer was fabricated by nanoimprint lithography (NIL) and plasma reactive ion etching (RIE) was used to remove the residuals layers. Copper was electrochemically deposited in bare Si regions which were not covered with fluoropolymers. The patterns of fluoropolymers and copper have been investigated by scanning electron microscopy (SEM). In this work, we used two deposition methods. One is galvanic displacement method and another is electrodeposition. Selective deposition works in both cases and it shows applicability to other materials. By optimization of the deposition conditions can be achieved therefore this process represents a simple approach for a direct high resolution patterning of silicon surfaces.

  • PDF

Patterning of CVD Diamond Films For MEMS Application

  • Wang, Xiaodong;Yang, Yirong;Ren, Congxin;Mao, Minyao;Wang, Weiyuan
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.s1
    • /
    • pp.167-170
    • /
    • 1998
  • To apply diamond films in microelectromechanical systems(MEMS), it is necessary to develop the patterning technologies of diamond films in the micrometer scale. In this paper, three different kinds of technologies for patterning CVD diamond films carried out by us were demonstrated: selective growth by improved diamond nucleation in DC bias-enhanced microwave plasma chemical vapor deposition (MPCVD) system, selective growth of seeding using diamond-particle-mixed photoresist, and selective etching of oxygen ion beam using Al as the mask. It was show that high selectivity and precise patterns had been achieved, and all the processes were compatible with IC process.

  • PDF

Study for an BF3 Specialty Gas Production (BF3 생산에 관한 연구)

  • Lee, Taeck-Hong;Kim, Jae-Young
    • Journal of the Korean Institute of Gas
    • /
    • v.15 no.3
    • /
    • pp.74-78
    • /
    • 2011
  • $BF_3$ gas has been used for semiconductor manufacturing process and applied in plasma etching, chemical vapor deposition, chamber cleaning processes etc,. $BF_3$ provides Boron and acts as a p-type doping in electrode in semiconductor. In this study, we investigate thermaldecomposition of alkali-boron complexes and suggest a simple way to produce $BF_3$ from $NaBF_4$ and $KBF_4$.

Fabrication of Nano-photonic Crystals with Lattice Constant of 460-nm by Inductively-coupled Plasma Etching Process (유도결합형 플라즈마 식각공정을 통해 제작된 460 nm 격자를 갖는 나노 광결정 특성)

  • Choi, Jae-Ho;Kim, Keun-Joo
    • Journal of the Semiconductor & Display Technology
    • /
    • v.5 no.2 s.15
    • /
    • pp.1-5
    • /
    • 2006
  • The GaN thin film on the 8 periods InGaN/GaN multi-quantum well structure was grown on the sapphire substrate using metal-organic chemical vapor deposition. The nano-scaled triangular-lattice holes with the diameter of 150 nm were patterned on a polymethylmethacrylate blocking film using an electron beam nano-lithography system. The thin slab and two-dimensional photonic crystals with the thickness of 28 nm were fabricated on the GaN layer for the blue light diffraction sources. The photonic crystal with the lattice parameter of 460 nm enhances spectral intensity of photoluminescence indicating that the photonic crystals provides the source of nano-diffraction for the blue light of the 450-nm wavelength.

  • PDF

A Roll-to-Roll Process for Manufacturing Flexible Active-Matrix Backplanes Using Self-Aligned Imprint Lithography and Plasma Processing

  • Taussig, Carl;Jeffrey, Frank
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07a
    • /
    • pp.808-810
    • /
    • 2005
  • Inexpensive large area arrays of thin film transistors (TFTs) on flexible substrates will enable many new display products that cannot be cost effectively manufactured by conventional means. This paper presents a new approach for low cost manufacturing of electronic devices using roll-to-roll (R2R) processes exclusively. It was developed in partnership by Hewlett Packard Laboratories and Iowa Thin Film Technologies (ITFT), a solar cell manufacturer. The approach combines ITFT's unique processes for vacuum deposition and etching of semiconductors, dielectrics and metals on continuous plastic webs with a method HP has invented for the patterning and aligning the multiple layers of a TFT with sub-micron accuracy and feature size.

  • PDF

Effect of the Si-adhesive layer defects on the temperature distribution of electrostatic chuck (Si-adhesive 층의 불량에 따른 정전척 온도분포)

  • Lee, Ki Seok
    • Journal of the Semiconductor & Display Technology
    • /
    • v.11 no.2
    • /
    • pp.71-74
    • /
    • 2012
  • Uniformity of the wafer temperature is one of the important factors in etching process. Plasma, chucking force, backside helium pressure and the surface temperature of ESC(electrostatic chuck) affect the wafer temperature. ESC consists of several layers of structure. Each layer has own thermal resistance and the Si-adhesive layer has highest thermal resistance among them. In this work, the temperature distribution of ESC was analyzed by 3-D FEM with various defects and the thickness deviation of the Si-adhesive layer. The result with Si-adhesive layer with the low center thickness deviation shows modified temperature distribution of ESC surface.