• Title/Summary/Keyword: Plasma etching process

Search Result 420, Processing Time 0.028 seconds

The surface kinetic properties between $BCl_3/Cl_2$/Ar plasma and $Al_2O_3$ thin film

  • Yang, Xue;Kim, Dong-Pyo;Um, Doo-Seung;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.169-169
    • /
    • 2008
  • To keep pace with scaling trends of CMOS technologies, high-k metal oxides are to be introduced. Due to their high permittivity, high-k materials can achieve the required capacitance with stacks of higher physical thickness to reduce the leakage current through the scaled gate oxide, which make it become much more promising materials to instead of $SiO_2$. As further studying on high-k, an understanding of the relation between the etch characteristics of high-k dielectric materials and plasma properties is required for the low damaged removal process to match standard processing procedure. There are some reports on the dry etching of different high-k materials in ICP and ECR plasma with various plasma parameters, such as different gas combinations ($Cl_2$, $Cl_2/BCl_3$, $Cl_2$/Ar, $SF_6$/Ar, and $CH_4/H_2$/Ar etc). Understanding of the complex behavior of particles at surfaces requires detailed knowledge of both macroscopic and microscopic processes that take place; also certain processes depend critically on temperature and gas pressure. The choice of $BCl_3$ as the chemically active gas results from the fact that it is widely used for the etching o the materials covered by the native oxides due to the effective extraction of oxygen in the form of $BCl_xO_y$ compounds. In this study, the surface reactions and the etch rate of $Al_2O_3$ films in $BCl_3/Cl_2$/Ar plasma were investigated in an inductively coupled plasma(ICP) reactor in terms of the gas mixing ratio, RF power, DC bias and chamber pressure. The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by AFM and SEM. The chemical states of film was investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts.

  • PDF

Effects of $N_2$ addition on chemical etching of silicon nitride layers in $F_2/Ar/N_2$ remote plasma processing

  • Park, S.M.;Kim, H.W.;Kim, S.I.;Yun, Y.B.;Lee, N.E.
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2007.04a
    • /
    • pp.78-79
    • /
    • 2007
  • In this study, chemical dry characteristics of silicon nitride layers were investigated in the $F_2/N_2/Ar$ remote plasma. A toroidal-type remote plasma source was used for the generation of remote plasmas. The effects of additive $N_2$ gas on the etch rates of various silicon nitride layers deposited using different deposition techniques and precursors were investigated by varying the various process parameters, such as the $F_2$ flow rate, the addition $N_2$ flow rate and the substrate temperature. The etch rates of the various silicon nitride layers at the room temperature were initially increased and then decreased with the $N_2$ flow increased, which indicates an existence of the maximum etch rates. The etch rates of the silicon oxide layers were also significantly increased with the substrate temperature increased. In the present experiments the $F_2$ gas flow, addition $N_2$ flow rate and the substrate temperature were found to be the critical parameters in determining the etch rate of the silicon nitride layers

  • PDF

A Study on The Improvement of Profile Tilting or Bottom Distortion in HARC (높은 A/R의 콘택 산화막 에칭에서 바닥모양 변형 개선에 관한 연구)

  • Hwang, Won-Tae;Kim, Gli-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.5
    • /
    • pp.389-395
    • /
    • 2005
  • The etching technology of the high aspect ratio contact(HARC) is necessary at the critical contact processes of semiconductor devices. Etching the $SiO_{2}$ contact hole with the sub-micron design rule in manufacturing VLSI devices, the unexpected phenomenon of 'profile tilting' or 'bottom distortion' is often observed. This makes a short circuit between neighboring contact holes, which causes to drop seriously the device yield. As the aspect ratio of contact holes increases, the high C/F ratio gases, $C_{4}F_{6}$, $C_{4}F_{8}$ and $C_{5}F_{8}$, become widely used in order to minimize the mask layer loss during the etching process. These gases provide abundant fluorocarbon polymer as well as high selectivity to the mask layer, and the polymer with high sticking yield accumulates at the top-wall of the contact hole. During the etch process, many electrons are accumulated around the asymmetric hole mouth to distort the electric field, and this distorts the ion trajectory arriving at the hole bottom. These ions with the distorted trajectory induce the deformation of the hole bottom, which is called 'profile tilting' or 'bottom distortion'. To prevent this phenomenon, three methods are suggested here. 1) Using lower C/F ratio gases, $CF_{4}$ or $C_{3}F_{8}$, the amount of the Polymer at the hole mouth is reduced to minimize the asymmetry of the hole top. 2) The number of the neighboring holes with equal distance is maximized to get the more symmetry of the oxygen distribution around the hole. 3) The dual frequency plasma source is used to release the excessive charge build-up at the hole mouth. From the suggested methods, we have obtained the nearly circular hole bottom, which Implies that the ion trajectory Incident on the hole bottom is symmetry.

Efficient Shadow-Test Algorithm for the Simulation of Dry Etching and Topographical Evolution (건식 식각 공정 시뮬레이션을 위한 효율적인 그림자 테스트 알고리즘과 토포그래피 진화에 대한 연구)

  • Kwon, Oh-Seop;Ban, Yong-Chan;Won, Tae-Young
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.2
    • /
    • pp.41-47
    • /
    • 1999
  • In this paper, we report 3D-simulations of a plasma etching process by employing cell-removal algorithm takes into account the mask shadow effect os well as spillover errors. The developed simulator haas an input interface to take not only an analytic form but a Monte Carlo distribution of the ions. The graphic user interface(GUI) was also built into the simulator for UNIX environment. To demonstrate the capability of 3D-SURFILER(SURface proFILER), we have simulated for a typical contact hole structure with 36,000($30{\times}40{\times}30$) cells, which takes about 20 minutes with 10 Mbytes memory on sun ultra sparc 1. as an exemplary case, we calculated the etch profile during the reactive ion etching(RIE) of a contact hole wherein the aspect ratio is 1.57. Furthermore, we also simulated the dependence of a damage parameter and the evolution of topography as a function of the chamber pressure and the incident ion flux.

  • PDF

Endpoint Detection Using Hybrid Algorithm of PLS and SVM (PLS와 SVM복합 알고리즘을 이용한 식각 종료점 검출)

  • Lee, Yun-Keun;Han, Yi-Seul;Hong, Sang-Jeen;Han, Seung-Soo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.9
    • /
    • pp.701-709
    • /
    • 2011
  • In semiconductor wafer fabrication, etching is one of the most critical processes, by which a material layer is selectively removed. Because of difficulty to correct a mistake caused by over etching, it is critical that etch should be performed correctly. This paper proposes a new approach for etch endpoint detection of small open area wafers. The traditional endpoint detection technique uses a few manually selected wavelengths, which are adequate for large open areas. As the integrated circuit devices continue to shrink in geometry and increase in device density, detecting the endpoint for small open areas presents a serious challenge to process engineers. In this work, a high-resolution optical emission spectroscopy (OES) sensor is used to provide the necessary sensitivity for detecting subtle endpoint signal. Partial Least Squares (PLS) method is used to analyze the OES data which reduces dimension of the data and increases gap between classes. Support Vector Machine (SVM) is employed to detect endpoint using the data after PLS. SVM classifies normal etching state and after endpoint state. Two data sets from OES are used in training PLS and SVM. The other data sets are used to test the performance of the model. The results show that the trained PLS and SVM hybrid algorithm model detects endpoint accurately.

Etching of Pt Thin Film for SAW Filter Fabrication (표면탄성파 필터 제작을 위한 Pt 박막 식각)

  • Choi, Yong-Hee;Song, Ho-Young;Park, Se-Geun;Lee, Taek-Joo;O, Beom-Hoan;Lee, Seung-Gol;Lee, El-Hang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.103-107
    • /
    • 2003
  • The inductively coupled plasma(ICP) etching process was selected to fabricate RF Surface Acoustic Wave(SAW) filters and a Pt thin film was sputtered on a $LiTaO_3$ substrate applied to electrode materials to reduce the spurious response and improve the power durability. Steep sidewall pattern was achieved employing $C_4F_8/Ar/Cl_2$ gas chemistry. We investigated an etching mechanism and parameter dependence of the Pt thin film about $C_4F_8$ addition. Sidewall etch angle was about $80^{\circ}$ at the $C_4F_8$ 20% mixing ratio. Fabricated SAW filter is consists of some series and parallel arm SAW resonators which work as impedance elements and show capacitance characteristics at out of the passband. It can be modified for $800{\sim}900\;MHz$ RF filters. External matching circuits were unnecessary.

  • PDF

Synthesis and Characterization of Layer-Patterned Graphene on Ni/Cu Substrate

  • Jung, Daesung;Song, Wooseok;Lee, Seung Youb;Kim, Yooseok;Cha, Myoung-Jun;Cho, Jumi
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.618-618
    • /
    • 2013
  • Graphene is only one atom thick planar sheet of sp2-bonded carbon atoms arranged in a honeycomb crystal lattice, which has flexible and transparent characteristics with extremely high mobility. These noteworthy properties of graphene have given various applicable opportunities as electrode and/or channel for various flexible devices via suitable physical and chemical modifications. In this work, for the development of all-graphene devices, we performed to synthesize alternately patterned structure of mono- and multi-layer graphene by using the patterned Ni film on Cu foil, having much different carbon solid solubilities. Depending on the process temperature, Ni film thickness, introducing occasion of methane and gas ratio of CH4/H2, the thickness and width of the multi-layer graphene were considerably changed, while the formation of monolayer graphene on just Cu foil was not seriously influenced. Based on the alternately patterned structure of mono- and multi-layer graphene as a channel and electrode, respectively, the flexible TFT (thin film transistor) on SiO2/Si substrate was fabricated by simple transfer and O2 plasma etching process, and the I-V characteristics were measured. As comparing the change of resistance for bending radius and the stability for a various number of repeated bending, we could confirm that multi-layer graphene electrode is better than Au/Ti electrode for flexible applications.

  • PDF

Dry Etching Characteristics of $HfAlO_3$ Thin Films using Inductively Coupled Plasma (고밀도 플라즈마를 이용한 $HfAlO_3$ 박막의 식각 특성 연구)

  • Ha, Tae-Kyung;Woo, Jong-Chang;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2010.06a
    • /
    • pp.382-382
    • /
    • 2010
  • The etch characteristics of the $HfAlO_3$ thin films and selectivity of $HfAlO_3$ to $SiO_2$ in $Cl_2/BCl_3$/Ar plasma were investigated in this work. The maximum etch rate was 108.7 nm/min and selectivity of $HfAlO_3$ to $SiO_2$ was 1.11 at $Cl_2$(3sccm)/$BCl_3$(4sccm)/Ar(16sccm), RF power of 500 W, DC-bias voltage of - 100 V, process pressure of 1 Pa and substrate temperature of $40^{\circ}C$. As increasing RF power and DC-bias voltage, etch rates of the $HfAlO_3$ thin films increased. Whereas as decreasing of the process pressure, those of the $HfAlO_3$ thin films were increased. The chemical reaction on the surface of the etched the $HfAlO_3$ thin films was investigated with X-ray photoelectron spectroscopy (XPS).

  • PDF

Optimization of remote plasma enhanced chemical vapor deposition oxide deposition process using orthogonal array table and properties (직교배열표를 쓴 remote-PECVD 산화막형성의 공정최적화 및 특성)

  • 김광호;김제덕;유병곤;구진근;김진근
    • Electrical & Electronic Materials
    • /
    • v.8 no.2
    • /
    • pp.171-175
    • /
    • 1995
  • Optimum condition of remote plasma enhanced chemical vapor deposition using orthogonal array method was chosen. Characteristics of oxide films deposited by RPECVD with SiH$_{4}$ and N$_{2}$O gases were investigated. Etching rate of the optimized SiO$_{2}$ films in P-etchant was about 6[A/s] that was almost the same as that the high temperature thermal oxide. The films showed high dielectric breakdown field of more than 7[MV/cm] and a resistivity of 8*10$^{13}$ [.ohmcm] around at 7[MV/cm]. The interface trap density of SiO$_{2}$/Si interface around the midgap derived from the high frequency C-V curve was about 5*10$^{10}$ [/cm$^{2}$eV]. It was observed that the dielectric constant of the optimized SiO$_{2}$ film was 4.29.

  • PDF

Damages of etched BST fins by high density plasmas (고밀도 플라즈마에 의한 BST 박막의 damage에 관한 연구)

  • 최성기;김창일;장의구;서용진;이우선
    • Proceedings of the Korean Institute of Navigation and Port Research Conference
    • /
    • 2000.11a
    • /
    • pp.45-48
    • /
    • 2000
  • High dielectric (Ba,Sr)TiO$_3$thin films were etched in an inductively coupled plasma (ICP) as a function of C1$_2$/Ar gas mixing ratio. Under Cl$_2$(20)/Ar(80), the maximum etch rate of the BST films was 400$\AA$/min and selectivities of BST to Pt and PR were obtained 0.4 and 0.2, respectively. We investigated the etched surface of BST by x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and x-ray diffraction (XRD). From the result of XPS analysis, we found that residues of Ba-Cl and Ti-Cl bonds remained on the surface of the etched BST for high boiling point. The surface roughness decreased as Cl$_2$increases in C1$_2$/Ar plasma because of non-volatile etching products. This changed the nature of the crystallinity of BST. From the result of XRD analysis, the crystallinity of etched BST film maintained as similar to as-deposited BST under Ar only and Cl$_2$(20)/Ar(80). However, (100) orientation intensity of etched BST film abruptly decreased at Cl$_2$only plasma. It was caused that Cl compounds were redeposited on the etched BST surface and damaged to crystallinity of BST film during the etch process.

  • PDF