• Title/Summary/Keyword: Plasma etching process

Search Result 420, Processing Time 0.031 seconds

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

A Appropriate Flux Generating Conditions for Semiconductor Etching Simulation (반도체 식각 전산모사에 적합한 플럭스 생성 조건)

  • Jeong, Seunghan;Gwun, Oubong;Shin, Seongsik
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.52 no.3
    • /
    • pp.105-115
    • /
    • 2015
  • In semiconductor etching simulation, The source modeling for generating plasma species is required. In this paper, we modeled the source of plasma etching process with probability distribution and the feature profile with simple geometry objects, then got the flux on the feature profile. The distance between the source and the cell on the modeling parameters of the source, there are a number of particles to be emitted from a source, there is a number (area of the cell) of the cell on the profile with additional parameters to give the calculation of flux. The flux error ratio on both gaussian(Incident Flux) and cosine probability distribution(Incident Neutral Flux) is much decreased as the number of ray is increased but the processing time is more increased than that. The increase of the number of cell and distance makes increase the flux error ratio and the processing time moderately. In view of the processing time through the experimental results in this paper, it is possible to analogize the calculation of appropriate fluxes.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Removal of Post Etch/Ash Residue on an Aluminum Patterned Wafer Using Supercritical CO2 Mixtures with Co-solvents and Surfactants: the Removal of Post Etch/Ash Residue on an Aluminum Patterned Wafer

  • You, Seong-sik
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.55-60
    • /
    • 2017
  • The supercritical $CO_2$ (sc-$CO_2$) mixture and the sc-$CO_2$-based Photoresist(PR) stripping(SCPS) process were applied to the removal of the post etch/ash PR residue on aluminum patterned wafers and the results were observed by scanning of electron microscope(SEM). In the case of MDII wafers, the carbonized PR was able to be effectively removed without pre-stripping by oxygen plasma ashing by using sc-$CO_2$ mixture containing the optimum formulated additives at the proper pressure and temperature, and the same result was also able to be obtained in the case of HDII wafer. It was found that the efficiency of SCPS of ion implanted wafer improved as the temperature of SCPS was high, so a very large amount of MEA in the sc-$CO_2$ mixture could be reduced if the temperature could be increased at condition that a process permits, and the ion implanted photoresist(IIP) on the wafer was able to be removed completely without pre-treatment of plasma ashing by using the only 1 step SCPS process. By using SCPS process, PR polymers formed on sidewalls of metal conductive layers such as aluminum films, titanium and titanium nitride films by dry etching and ashing processes were removed effectively with the minimization of the corrosion of the metal conductive layers.

  • PDF

Highly Efficient Thermal Plasma Scrubber Technology for the Treatment of Perfluorocompounds (PFCs) (과불화합물(PFCs) 가스 처리를 위한 고효율 열플라즈마 스크러버 기술 개발 동향)

  • Park, Hyun-Woo;Cha, Woo Byoung;Uhm, Sunghyun
    • Applied Chemistry for Engineering
    • /
    • v.29 no.1
    • /
    • pp.10-17
    • /
    • 2018
  • POU (point of use) scrubbers were applied for the treatment of waste gases including PFCs (perfluorocompounds) exhausted from the CVD (chemical vapor deposition), etching, and cleaning processes of semiconductor and display manufacturing plant. The GWP (global warming potential) and atmosphere lifetime of PFCs are known to be a few thousands higher than that of $CO_2$, and extremely high temperature more than 3,000 K is required to thermally decompose PFCs. Therefore, POU gas scrubbers based on the thermal plasma technology were developed for the effective control of PFCs and industrial application of the technology. The thermal plasma technology encompasses the generation of powerful plasma via the optimization of the plasma torch, a highly stable power supply, and the matching technique between two components. In addition, the effective mixture of the high temperature plasma and waste gases was also necessary for the highly efficient abatement of PFCs. The purpose of this paper was to provide not only a useful technical information of the post-treatment process for the waste gas scrubbing but also a short perspective on R&D of POU plasma gas scrubbers.

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

Data Qualification of Optical Emission Spectroscopy Spectra in Resist/Nitride/Oxide Etch: Coupon vs. Whole Wafer Etching

  • Kang, Dong-Hyun;Pak, Soo-Kyung;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.433-433
    • /
    • 2012
  • As the requirement in patterning geometry continuously shrinks down, the termination of etch process at the exact time became crucial for the success in nano patterning technology. By virtue of real-time optical emission spectroscopy (OES), etch end point detection (EPD) technique continuously develops; however, it also faced with difficulty in low open ratio etching, typically in self aligned contact (SAC) and one cylinder contact (OCS), because of very small amount of optical emission from by-product gas species in the bulk plasma glow discharge. In developing etching process, one may observe that coupon test is being performed. It consumes costs and time for preparing the patterned sample wafers every test in priority, so the coupon wafer test instead of the whole patterned wafer is beneficial for testing and developing etch process condition. We also can observe that etch open area is varied with the number of coupons on a dummy wafer. However, this can be a misleading in OES study. If the coupon wafer test are monitored using OES, we can conjecture the endpoint by experienced method, but considering by data, the materials for residual area by being etched open area are needed to consider. In this research, we compare and analysis the OES data for coupon wafer test results for monitoring about the conditions that the areas except the patterns on the coupon wafers for real-time process monitoring. In this research, we compared two cases, first one is etching the coupon wafers attached on the carrier wafer that is covered by the photoresist, and other case is etching the coupon wafers on the chuck. For comparing the emission intensity, we chose the four chemical species (SiF2, N2, CO, CN), and for comparing the etched profile, measured by scanning electron microscope (SEM). In addition, we adopted the Dynamic Time Warping (DTW) algorithm for analyzing the chose OES data patterns, and analysis the covariance and coefficient for statistical method. After the result, coupon wafers are over-etched for without carrier wafer groups, while with carrier wafer groups are under-etched. And the CN emission intensity has significant difference compare with OES raw data. Based on these results, it necessary to reasonable analysis of the OES data to adopt the pre-data processing and algorithms, and the result will influence the reliability for relation of coupon wafer test and whole wafer test.

  • PDF

Study of SF6/Ar plasma based textured glass surface morphology for high haze ratio of ITO films in thin film solar cell

  • Kang, Junyoung;Hussain, Shahzada Qamar;Kim, Sunbo;Park, Hyeongsik;Le, Anh Huy Tuan;Yi, Junsin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.430.2-430.2
    • /
    • 2016
  • The front transparent conductive oxide (TCO) films in thin fill solar cell should exhibit high transparency, conductivity, good surface morphology and excellent light scattering properties. The light trapping phenomenon is limited due to random surface structure of TCO films. The proper control of surface structure and uniform cauliflower TCO films may be appropriate for efficient light trapping. We report light trapping scheme of ICP-RIE glass texturing by SF6/Ar plasma for high roughness and haze ratio of ITO films. It was observed that the variation of etching time, pattern size and Ar flow ratio during ICP-RIE process were important factors to improve the diffused transmittance and haze ratio of textured glass. The ICP-RIE textured glass showed low etching rates due to the presence of metal elements like Al, B, F and Na. The ITO films deposited on textured glass substrates showed the high RMS roughness and haze ratio in the visible wavelength region. The change in surface morphology showed negligible influence on electrical and structural properties of ITO films. The ITO films with high roughness and haze ratio can be used to improve the performance of thin film solar cells.

  • PDF

Damages of Etched (Ba, Sr) $TiO_3$Thin Films by Inductively Coupled Plasmas (유도결합 플라즈마에 의한 (Ba,Sr)$TiO_3$박막의 식각 손상에 관한 연구)

  • 최성기;김창일;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.10
    • /
    • pp.785-791
    • /
    • 2001
  • High dielectric (Ba, Sr) TiO$_3$ thin films were etched in an inductively coupled plasma (ICP) as a function of Cl$_2$/Ar mixing ration. Under Cl$_2$(20)/Ar(80), the maximum etch rate of the BST films was 400 $\AA$/mim and selectivities of BST to Pt and PR were obtained 0.4 and 0.2, respectively. Etching products were redeposited on the surface of BST and resulted in varying the nature of crystallinity. Therefore, we investigated the etched surface of BST by x-ray photoelectron spectroscopy (XPS) atomic force microscopy (AFM) and x-ray diffraction (XRD). From the result of XPS analysis, we found that residues of Ba-Cl and Ti-Cl bonds remained on the surface of the etched BST for high boiling point. The morphology of the etched surfact was analyzed by AFM. A smoothsurface(roughness ~2.8nm) ws observed under Cl$_2$(20)/Ar(80), rf power of 600 W, dc bias voltage of -250 V and pressure of 10 mTorr. This changed the nature of the crystallinity of BST. From the result of XRD analysis, the crystallinities of the etched BST film under Ar only and Cl$_2$(20)/Ar(80) were maintained as similar to as-deposited BST. However, intensity of BST(100) orientation under Cl$_2$ only plasma was abruptly decreased. This indicated that CI compounds were redeposited on the etched BST surface and resulted in changed of the crystallinity of BST during the etch process.

  • PDF