• 제목/요약/키워드: Plasma etcher

검색결과 73건 처리시간 0.027초

ECR을 이용한 ${SF_6}/{O_2}$ 가스 플라즈마에 의한 ITO의 식각 특성연구 (Etch characteristics of ITO(Indium Tin Oxide)using ${SF_6}/{O_2}$-gas ECR(Electron Cyclotron Resonance) plasmas)

  • 권광호;강승열;김곤호;염근영
    • 한국전기전자재료학회논문지
    • /
    • 제13권7호
    • /
    • pp.563-567
    • /
    • 2000
  • We presented the etch results of indium-tin oxide thin films by using SF$_{6}$/O$_2$gas electron cyclotron resonance plasma and conducted X-ray phtoelectron spectroscopy and quadrupole mass spectrometer analyses for the etch characteristics. The etch rate of the films was greatly dependent on that of oxygen which was the major constituent element of the films. The oxygen was removed by the forms like $O_2$or SOF$_2$. We examined the ratio of atomic content of O and In and the change of this ratio was related to the removal rate of InF$_{x}$ and the S-metal bonding.ing.

  • PDF

초미세 공정에 적합한 ICP(Inductive Coupled Plasma) 식각 알고리즘 개발 및 3차원 식각 모의실험기 개발 (Development of New Etching Algorithm for Ultra Large Scale Integrated Circuit and Application of ICP(Inductive Coupled Plasma) Etcher)

  • 이영직;박수현;손명식;강정원;권오근;황호정
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 하계종합학술대회 논문집
    • /
    • pp.942-945
    • /
    • 1999
  • In this work, we proposed Proper etching algorithm for ultra-large scale integrated circuit device and simulated etching process using the proposed algorithm in the case of ICP (inductive coupled plasma) 〔1〕source. Until now, many algorithms for etching process simulation have been proposed such as Cell remove algorithm, String algorithm and Ray algorithm. These algorithms have several drawbacks due to analytic function; these algorithms are not appropriate for sub 0.1 ${\mu}{\textrm}{m}$ device technologies which should deal with each ion. These algorithms could not present exactly straggle and interaction between Projectile ions and could not consider reflection effects due to interactions among next projectile ions, reflected ions and sputtering ions, simultaneously In order to apply ULSI process simulation, algorithm considering above mentioned interactions at the same time is needed. Proposed algorithm calculates interactions both in plasma source region and in target material region, and uses BCA (binary collision approximation4〕method when ion impact on target material surface. Proposed algorithm considers the interaction between source ions in sheath region (from Quartz region to substrate region). After the collision between target and ion, reflected ion collides next projectile ion or sputtered atoms. In ICP etching, because the main mechanism is sputtering, both SiO$_2$ and Si can be etched. Therefore, to obtain etching profiles, mask thickness and mask composition must be considered. Since we consider both SiO$_2$ etching and Si etching, it is possible to predict the thickness of SiO$_2$ for etching of ULSI.

  • PDF

Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a $CH_4$/Ar Plasma

  • Kim, Eun-Ho;Lee, Hwa-Won;Lee, Tae-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.167-167
    • /
    • 2011
  • Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using $CH_4/O_2/NH_3$. It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing $CH_4$/Ar and $CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in $CH_4$/Ar and $CH_4/O_2/Ar$ chemistries.

  • PDF

Top-GaP 상부에 나노 크기의 Roughness 처리에 의한 AlGaInP 고휘도 LED의 휘도 향상 (Improvement of Brightness for AlGaInP High-brightness LEDs with Nano-scale Roughness on Top-GaP Surface)

  • 소순진;하헌성;박춘배
    • 한국전기전자재료학회논문지
    • /
    • 제21권1호
    • /
    • pp.68-72
    • /
    • 2008
  • AlGaInP high-brightness LEDs(HB-LEDs) have gained importance a variety of application operating in the red, orange, yellow and yellow-green wavelength. The light generated from inside LED chips should be emitted to the air through the surfaces of the chips. However, because of the differences between the semiconductor and air or epoxy's refractive index, some of the light was blocked so that caused lowering external quantum efficiency. In this study, nano-scale roughness on the top-GaP layer of AlGaInP epitaxial wafer was fabricated to improve' the brightness of AlGaInP LEDs. Nano-scale roughness was made by ICP dry etcher. Our AlGaInP LEDs with nano-scale roughness has higher brightness (about 28.5 %) than standard AlGaInP LEDs.

Etching characteristics of ArF and EUV resists in dual-frequency superimposed capacitively coupled $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}$/Ar plasmas

  • 권봉수;김진성;박영록;안정호;문학기;정창룡;허욱;박지수;이내응;이성권
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2009년도 춘계학술대회 논문집
    • /
    • pp.252-253
    • /
    • 2009
  • In this study, the deformation and etch characteristics of ArF and EUV photoresists were compared in a dual frequency superimposed capacitively coupled plasma (DFS-CCP) etcher systems using $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}/Ar$ mixture gas chemistry which are typically used for BARC open and $Si_{3}N_{4}$ teching chemistry, respectively. Etch rate of the resists tend to increase with low-frequency source power ($P_{LF}$) and high-frequency source ($f_{HF}$). The etch rate of ArF resist was hgither than that of EUV resist.

  • PDF

Nanophotonics of Hexagonal Lattice GaN Crystals Fabricated using an Electron Beam Nanolithography Process

  • Lee, In-Goo;Kim, Keun-Joo;Jeon, Sang-Cheol;Kim, Jin-Soo;Lee, Hee-Mok
    • International Journal of Precision Engineering and Manufacturing
    • /
    • 제7권4호
    • /
    • pp.14-17
    • /
    • 2006
  • A thin GaN semiconducting film that grows on sapphires due to metalorganic chemical vapor deposition was machined for nanophotonic applications. The thin film had multilayered superlattice structures, including nanoscaled InGaN layers. Eight alternating InGaN/GaN multilayers provided a blue light emission source. Nanoscaled holes, 150 nm in diameter, were patterned on polymethylmethacrylate (PMMA) film using an electron beam lithography system. The PMMA film blocked the etching species. Air holes, 75 nm in diameter, which acted as blue light diffraction sources, were etched on the top GaN layer by an inductively coupled plasma etcher. Hexagonal lattice photonic crystals were fabricated with 230-, 460-, 690-, and 920-nm pitches. The 450-nm wavelength blue light provided the nanodiffraction destructive and constructive interferences phenomena, which were dependent on the pitch of the holes.

광진단을 통한 플라즈마 공정 상태 변동 메커니즘 분석 (Analysis on Variation Mechanism of the Plasma Process Using OES)

  • 박설혜;김곤호
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.31-31
    • /
    • 2011
  • 식각, 증착 등의 플라즈마 활용 공정에서 공정 결과들이 예상치 못한 편차를 보이거나 시간에 따른 공정 결과의 드리프트가 발생하는 등의 문제는 공정 수율 향상 뿐 아니라 공정 결과 생산하게 되는 제품의 성능을 결정짓는다는 점에서 중요하다. 그 결과 공정의 이상이 발생 되는 것을 감지하기 위한 다양한 장치 및 알고리즘들이 등장하고 있으나, 현재 공정 상태 변화를 진단하는 것은 공정 장치에서 발생된 신호 변동을 통계적으로 처리하는 수준에 머무르거나 플라즈마 인자들의 값 자체를 진단하는 정도에 그치고 있다. 본 연구에서는, 향후 물리적 해석을 기반으로 한 공정 진단을 위한 알고리즘을 세우는 것을 목표로 하여 공정 결과에 민감하게 영향을 주는 플라즈마 내부 전자의 열평형 상태의 미세한 변동을 감지하고 이를 통하여 공정 결과에 영향을 주게 되는 장치 내 물리적, 화학적 반응들의 변동 메커니즘을 이해하고자 하였다. 외부에서 감지하기 힘들기 때문에 장치 상태에 변동이 없는 것으로 보이지만 실제로는 변동하고 있는 플라즈마의 미세한 상태 변화를 보여줄 수 있는 물리 인자로는 잦은 충돌로 인하여 빠르게 변동에 대응할 수 있는 전자들의 열평형 특성을 살펴보는 것이 적합하다고 판단하여 광신호를 통해 전자 에너지 분포함수를 진단할 수 있는 모델을 수립하였다. 이 모델의 적용 결과를 활용하면 전자들의 열평형이 주변 가스 종의 반응율 변동에 주게 되는 영향을 해석할 수 있다. 실제로 ICP-Oxide Etcher 장치에서 장치 내벽 오염물질 유입 및 공정 부산물의 장치 내 잔여로 인하여 식각율로 표현되는 공정 결과에 최대 6%의 편차가 발생하게 되는 메커니즘을 해석할 수 있었다.

  • PDF

유도결합 C1$_2$/CF$_4$/Ar 플라즈마를 이용한 CeO$_2$ 박막 식각후 표면반응 (Surface Reactions after the Etching of CeO$_2$ Thin films using Inductively Coupled C1$_2$/CF$_4$/Ar Plasmas)

  • 이병기;김남훈;장윤성;김경섭;김창일;장의구
    • 마이크로전자및패키징학회지
    • /
    • 제9권2호
    • /
    • pp.27-31
    • /
    • 2002
  • 본 연구에서는 ICP 식각장비에서 700 W의 RF전력과 -200 vo1t의 dc 바이어스 전압 및 15 mTorr의 반응로 압력에서 $Ar/CF_2$ 혼합가스에 $C1_2$가스를 첨가하면서 $CeO_2$ 박막을 식각하였다 최대식각 속도는 10%의 $C1_2$ 가스를 첨가하였을 시에 250 $\AA$/min이었고, 이 조건에서 SBT에 대한 식각 선택비는 0.4이었다. XPS를 이용하여 식각된 $CeO_2$ 박막의 표면반응을 검토하였다. Ce 피크는 대부분 $CeO_2$또는 $Ce_2O_3$형태로 Ce-O 결합상태임을 관찰할 수 있었다. 대부분의 Cl 피크는 CeClx 또는 $Ce_x/O_yCl_z$ 형태로 Ce 원자와 결합하고 있었다

  • PDF

Low-k Polyimide상의 금속배선 형성을 위한 식각 기술 연구 (A Study on the Etcting Technology for Metal Interconnection on Low-k Polyimide)

  • 문호성;김상훈;안진호
    • 한국재료학회지
    • /
    • 제10권6호
    • /
    • pp.450-455
    • /
    • 2000
  • 실리콘 소자가 더욱 미세화되면서, 발생되는 power consumption, crosstalk와 interconnection delay 등을 감소시키기 위해 $SiO_2$ 대신에 저유전 상수막의 적용이 고려되어진다. 본 논문에서는, 저유전 상수 층간 절연막 재료로 유망한 폴리이미드의 식각 특성에 $O_2/SF_6$ 가스가 미치는 영향을 연구하였다. 폴리이미드의 식각률을 SF(sub)6 가스의 첨가에 따라 산소와 hydrocarbon 폴리머 간의 반응을 억제하는 비휘발성 물질은 fluorine 화합물의 형성에 의해 감소되었다. 반면에, 기판 전극의 전압 증가는 물리적인 충격을 통해 식각 공정을 증가시켰다. 또한 작은 량의 SF(sub)6 가스 첨가는 식각 topography에 바람직하였다. 폴리이미드 식각을 위한 $SiO_2$ hard mask 사용은 산소 플라즈마 식각 하에서 효과적이었다(선택비-30). 반면에 $O_2SF_6$ 가스 조성은 식각 선택비를 4로 저하시키게 되었다. 이러한 결과를 기초로, $1-2\mu\textrm{m}$ 선폭을 가진 PI 2610의 식각을 원활히 수행할 수 있었다.

  • PDF

Role of $N_2$ flow rate on etch characteristics and variation of line edge roughness during etching of silicon nitride with extreme ultra-violet resist pattern in dual-frequency $CH_2F_2/N_2$/Ar capacitively coupled plasmas

  • 권봉수;정창룡;이내응;이성권
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.458-458
    • /
    • 2010
  • The process window for the etch selectivity of silicon nitride ($Si_3N_4$) layers to extreme ultra-violet (EUV) resist and variation of line edge roughness (LER) of EUV resist were investigated durin getching of $Si_3N_4$/EUV resist structure in a dual-frequency superimposed capacitive coupled plasma (DFS-CCP) etcher by varying the process parameters, such as the $CH_2F_2$ and $N_2$ gas flow rate in $CH_2F_2/N_2$/Ar plasma. The $CH_2F_2$ and $N_2$ flow rate was found to play a critical role in determining the process window for infinite etch selectivity of $Si_3N_4$/EUV resist, due to disproportionate changes in the degree of polymerization on $Si_3N_4$ and EUV resist surfaces. The preferential chemical reaction between hydrogen and carbon in the hydrofluorocarbon ($CH_xF_y$) polymer layer and the nitrogen and oxygen on the $Si_3N_4$, presumably leading to the formation of HCN, CO, and $CO_2$ etch by-products, results in a smaller steady-state hydrofluorocarbon thickness on $Si_3N_4$ and, in turn, in continuous $Si_3N_4$ etching due to enhanced $SiF_4$ formation, while the $CH_xF_y$ layer is deposited on the EUV resist surface. Also critical dimension (and line edge roughness) tend to decrease with increasing $N_2$ flow rate due to decreased degree of polymerization.

  • PDF