• Title/Summary/Keyword: Plasma etch rate

Search Result 381, Processing Time 0.03 seconds

A Study on the Etching Mechanism of (Ba,Sr)$TiO_3$ Thin Films using MEICP (MEICP에 의한 (Ba,Sr)$TiO_3$ 박막의 식각 메커니즘에 관한 연구)

  • Min, Byung-Jun;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.04b
    • /
    • pp.52-55
    • /
    • 2000
  • In this study, (Ba,Sr)$TiO_3$(BST) thin films were etched with a magnetically enhanced inductively coupled plasma(MEICP) as a function Ar/$CF_4$ gas mixing ratio. Experiment was done by varying the etching parameters such as rf power, dc bias voltage and chamber pressure. The maximum etch rate of the BST films was 1700 ${\AA}/min$ under $CF_4/(CF_4+Ar)$ of 0.1, 600 W/350 V and 5 mTorr. The selectivity of BST to Pt and PR was 0.6, 0.7, respectively. X -ray photoelectron spectroscopy(XPS) studies shows that there are surface reaction between Ba, Sr, Ti and C, F radicals during the etching. To analyze the composition of surface residue remaining after the etching, films etched with different $CF_4$/Ar gas mixing ratio were investigated using XPS.

  • PDF

A Study on Etching of Platinum Thin Film in ICP Using Ar/HBr/$Cl_2$ Gases (ICP를 이용한 Ar/HBr/$Cl_2$ 가스에서 백금 박막의 식각 연구)

  • Kim, Nam-Hoon;Kim, Chang-Il;Kwon, Kwang-Ho;Chang, Eui-Goo
    • Proceedings of the KIEE Conference
    • /
    • 1998.07d
    • /
    • pp.1294-1296
    • /
    • 1998
  • Platinum thin films which hardly form volatile compounds with any reactive gas at normal process temperature was etched in Inductively Coupled Plasma (ICP) using Ar/HBr/$Cl_2$ gases. It is observed that the etch rate of platinum is reduced as increasing of HBr/$Cl_2$ gas mixing ratio when Ar gas ratio is fixed. However, we obtain good etching profile of platinum films without unwanted residues in 90% Ar/5% HBr/5% $Cl_2$ gas mixing ratio.

  • PDF

ICP ETCHING OF TUNGSTEN FOR X-RAY MASKS

  • Jeong, C.;Song, K.;Park, C.;Jeon, Y.;Lee, D.;Ahn, J.
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.869-875
    • /
    • 1996
  • In this article the effects of process parameters of inductively coupled plasma etching with $SF_6$ /$N_2$/Ar mixture gas and mask materials on the etched profile of W were investigated. While the etched profile was improved by $N_2$-addition, low working presure, and reduced $SF_6$ flow rate, the etching selectity (W against SAL resist) was decreased. Due to the difficulty of W etching with single layer resist, sputter deposited $Al_2O_3$ film was used as a hardmask. Reduction of required EB resist thickness through $Al_2O_3$ mask application could reduce proximity effect during e-beam patterning, but the etch anisotropy was degraded by decreased sidewall passiviation effect.

  • PDF

Dry Etching Properties of PAR (poly-arylate) Substrate for Flexible Display Application (플렉시블 디스플레이 응용을 위한 폴리아릴레이트 기판의 식각 특성)

  • Hwanga, Jin-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.29 no.12
    • /
    • pp.824-828
    • /
    • 2016
  • In this study, effects of ICP (inductively coupled plasma) treatment on PAR thin film have been investigated. A maximum etch rate of the PAR thin films and the selectivity of PAR to PR were obtained as 110 nm/minand 1.1 in the $CF_4/O_2$ (5:15 sccm) gas mixture. We present the surface properties of PAR thin film with various treatment conditions. The surface morphology and cross section of the PAR thin film was observed by AFM (atomic force microscopy) and FE-SEM (filed emission scanning electron microscopy).

A study on the Etching and electrical Properties of PZT Thin Films (PZT(53/47) 박막의 식각 및 전기적 특성에 관한 연구)

  • 김경태;이성갑;이영희;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.39-42
    • /
    • 2000
  • The effect of excess Pb contents on the etching of PZT thin films and their electrical properties has been investigated. Ferroelectric PZT(53/47) thin films were prepared by the metal alkoxide-based Sol-Gel method, in which they were spin-coating on P7Ti/Si02/Si substrate using the PZT(53/47) stock solutions with various excess Pb contents. Etching of PZT film was performed using planar inductively coupled Ar/Cl$_2$/BCl$_3$ plasma. The etch rate of PZT film was 2450 $\AA$/min at Ar(20)/BCl$_3$(80) gas mixing ratio and substrate temperature of 8$0^{\circ}C$.

  • PDF

Properties of $SiO_2$Deposited by Remote Plasma Chemical Vapor Deposition(RPCVD) (원거리 플라즈마 화학증착법으로 증착된 이산화규소박막의 물성)

  • Park, Yeong-Bae;Gang, Jin-Gyu;Lee, Si-U
    • Korean Journal of Materials Research
    • /
    • v.5 no.6
    • /
    • pp.706-714
    • /
    • 1995
  • Silicon oxide thin films were deposited by remote plasma chemical vapor deposition (RPCYD). The effect of the operating variables, such as plasma power, deposition temperature and partial pressure of reactant on the material Properties of the silicon oxide film was investigated. By XPS, it was found out that the film was suboxide (O/Si<2) and small amount of nitrogen due to the plasma excitation was accumulated at the Si/SiO$_2$interface. The amount of dangling bonds at the Si/SiO$_2$interfaces were measured by ESR and the concentration of hydrogen bond was obtained by SIMS and FT-IR. The bond angle distribution(d$\theta$/$\theta$) was shown to be similiar to thermal oxide above 20$0^{\circ}C$ but the etch rate was higher than that of the thermal oxides due to the structural difference and the stress between silicon substrate and silicon oxide film.

  • PDF

Comparison of plasma resistance between spray coating films and bulk of CaO-Al2O3-SiO2 glasses under CF4/O2/Ar plasma etching (CaO-Al2O3-SiO2 계 벌크 유리와 스프레이 코팅막의 CF4/O2/Ar 플라즈마 식각 시 내식성 비교)

  • Na, Hyein;Park, Jewon;Park, Jae-Hyuk;Kim, Dae-Gun;Choi, Sung-Churl;Kim, Hyeong-Jun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.30 no.2
    • /
    • pp.66-72
    • /
    • 2020
  • The difference of plasma resistance between the CAS glass bulk and coating films were compared. Plasma resistance was confirmed by analyzing the etch rate and the microstructure of the surface when the CAS glass bulk and the glass coating film were etched with CF4/O2/Ar plasma gas. CAS glass coating film was etched up to 25 times faster than the glass bulk. A statistically high correlation between the surface roughness and the etching rate of the coating film was derived, and thus, the high surface roughness of the coating film was determined to cause rapid etching. In addition, cristobalite crystals that has a low Ca content and a high Si content, was foamed on the glass coating film. Therefore, the CAS glass coating film is considered to have low plasma resistance compared to the glass bulk.

Chemical Mechanical Polishing Characteristics of BTO Thin Film for Vertical Sidewall Patterning of High-Density Memory Capacitor (고집적 메모리 커패시터의 Vertical Sidewall Patterning을 위한 BTO 박막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Lee, Kang-Yeon;Lee, Woo-Sun;Seo, Yong-Jin
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.3
    • /
    • pp.116-121
    • /
    • 2006
  • Most high-k materials are well known not to be etched easily, Some problems such as low etch rate poor sidewall angle, plasma damage, and process complexity were emerged from the high-density DRAM fabrication. Chemical mechanical polishing (CMP) by a damascene process was proposed to pattern this high-k material was polished with some commercial silica slurry as a function of pH variation. Sufficient removal rate with adequate selectivity to realize the pattern mask of tera-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle were obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. The planarization was also achieved for the subsequent multi-level processes. Our new CMP approach will provide a guideline for effective patterning of high-k material by CMP technique.

Dry etching of Si by direct DC biasing (직접 인가된 DC 바이어스에 의한 Si의 건식 식각)

  • Ahn, H.J.;Moon, S.H.;Lee, J.S.;Shim, K.H.;Yang, J.W.;Shin, H.C.;Lee, K.H.;Lee, J.H.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.162-163
    • /
    • 2007
  • The dry etching of Si was investigated using direct dc biasing to the Si substrate. The TCP type etching system with a feed-through for applying a dc bias was used in the etching. The applied dc bias and ICP power was varied to examine the effect on the etching at the fixed chamber pressure and $SF_6$ flow rate of 10 mTorr and 10 sccm during. When the plasma was generated at ICP power of 100 W, the etch rate of Si was increased with the bias for the biased samples. However, the etching of Si for the non-biased sample was enhanced for the increased ICP power.

  • PDF

Fabrication of Artificial Sea Urchin Structure for Light Harvesting Device Applications

  • Yeo, Chan-Il;Kwon, Ji-Hye;Kim, Joon-Beom;Lee, Yong-Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.380-381
    • /
    • 2012
  • Bioinspired sea urchin-like structures were fabricated on silicon by inductively coupled plasma (ICP) etching using lens-like shape hexagonally patterned photoresist (PR) patterns and subsequent metal-assisted chemical etching (MaCE) [1]. The lens-like shape PR patterns with a diameter of 2 ${\mu}m$ were formed by conventional lithography method followed by thermal reflow process of PR patterns on a hotplate at $170^{\circ}C$ for 40 s. ICP etching process was carried out in an SF6 plasma ambient using an optimum etching conditions such as radio-frequency power of 50 W, ICP power of 25 W, SF6 flow rate of 30 sccm, process pressure of 10 mTorr, and etching time of 150 s in order to produce micron structure with tapered etch profile. 15 nm thick Ag film was evaporated on the samples using e-beam evaporator with a deposition rate of 0.05 nm/s. To form Ag nanoparticles (NPs), the samples were thermally treated (thermally dewetted) in a rapid thermal annealing system at $500^{\circ}C$ for 1 min in a nitrogen environment. The Ag thickness and thermal dewetting conditions were carefully chosen to obtain isolated Ag NPs. To fabricate needle-like nanostructures on both the micron structure (i.e., sea urchin-like structures) and flat surface of silicon, MaCE process, which is based on the strong catalytic activity of metal, was performed in a chemical etchant (HNO3: HF: H2O = 4: 1: 20) using Ag NPs at room temperature for 1 min. Finally, the residual Ag NPs were removed by immersion in a HNO3 solution. The fabricated structures after each process steps are shown in figure 1. It is well-known that the hierarchical micro- and nanostructures have efficient light harvesting properties [2-3]. Therefore, this fabrication technique for production of sea urchin-like structures is applicable to improve the performance of light harvesting devices.

  • PDF