• Title/Summary/Keyword: Plasma Gas

Search Result 2,291, Processing Time 0.044 seconds

CHARACTERISITCS OF CHLORINE IND DUCTIVELY COUPLED PLASMAS AND THEIR SILICON ETCH PROPERTIES

  • Lee, Young-Jun;Kim, Hyeon-Soo;Yeom, Geun-Young;Oho, Kyung-Hee
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.816-823
    • /
    • 1996
  • Chlorine containing high density plasmas are widely used to etch various materials in the microelectronic device fabrication. In this study, the characteristics of inductively coupled $Cl_2(O_2/N_2$) plasmas and their effects on the formation of silicon etching have been investigated using a Langmuir probe, quadrupole mass spectrometry(QMS), X-ray photoelectron spectroscopy(XPS), and Scanning Electron Microscopy(SEM). The addition of oxygen for chlorine plasmas reduced ion current densities and chlorine radical densities compared to the nitrogen addition by the recombination of oxygen with chlorine. Also, when silicon is etched in $Cl_2/O_2$ plasmas, etch products recombined with oxygen such as $SiCl_xO_y$ emerged. However, when nitrogen is added to chlorine, etch products recombined with nitrogen or Si-N bondings on the etched silicon surface were not found. All the silicon etch characteristics were dependent on the plasma conditions such as ion density, radical density, etc. As a result sub micron vertical silicon trench etch profiles could be effectively formed using optimized etch conditions for $Cl_2/O_2\; and \;Cl_2/N_2$ gas combinations.

  • PDF

Etching characteristics of ArF and EUV resists in dual-frequency superimposed capacitively coupled $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}$/Ar plasmas

  • Gwon, Bong-Su;Kim, Jin-Seong;Park, Yeong-Rok;An, Jeong-Ho;Mun, Hak-Gi;Jeong, Chang-Ryong;Heo, Uk;Park, Ji-Su;Lee, Nae-Eung;Lee, Seong-Gwon
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.252-253
    • /
    • 2009
  • In this study, the deformation and etch characteristics of ArF and EUV photoresists were compared in a dual frequency superimposed capacitively coupled plasma (DFS-CCP) etcher systems using $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}/Ar$ mixture gas chemistry which are typically used for BARC open and $Si_{3}N_{4}$ teching chemistry, respectively. Etch rate of the resists tend to increase with low-frequency source power ($P_{LF}$) and high-frequency source ($f_{HF}$). The etch rate of ArF resist was hgither than that of EUV resist.

  • PDF

Disposal of Highly Toxic Wastes by using High Temperature and High Pressure Combustor (난분해성 환경오염물질의 고온.고압연소)

  • Yoon, Jae-Kun;Hong, Ho-Yeun;Lee, Jeong-Woo;Kim, Jong-Pyo;Kang, Su-Sok
    • 한국연소학회:학술대회논문집
    • /
    • 2006.04a
    • /
    • pp.75-78
    • /
    • 2006
  • Disposal of highly toxic wastes like polychlorinated biphenyls (PCBs) is very difficult. These substances create a growing mountain of problematic waste that has to be disposed properly. Conventional technologies that are based on common burning(rotary kiln, ${\sim}1100^{\circ}C$) and plasma technology(${\sim}10000^{\circ}C$) do not satisfy important conditions. for example, complete combustion of the toxic waste and the price of waste disposal. The combustor like a rocket engine is operated at relatively high pressure(${\sim}15$ bar) and relatively high temperature(>$3000^{\circ}C$) that are ideal for the complete destruction of extremely toxic substances. In this study, test compound($_o-DCB$) was dissolved in kerosine with a concentration of 10%. Pure gas oxygen was used as an oxidant. Analysis showed that the destruction efficiency achieved for ${o}-DCB$ was 99.9999% or better. The results show that a combustor based on liquid propllant rocket technology is a validated tool for the disposal of highly toxic waste, and a good alternative technology when applied to the destruction of extremely toxic wastes.

  • PDF

Friction and Wear of Nitrogen Incorporated Diamond-like Carbon Films Under a Vacuum

  • Yoon, Eui-Sung;Kong, Hosung;Lee, Kwang-Ryeol;Oh, Jae-Eung
    • Tribology and Lubricants
    • /
    • v.11 no.5
    • /
    • pp.59-65
    • /
    • 1995
  • Tribological behaviors of nitrogen incorporated amorphous diamond-like carbon films were experimentally measured under a vacuum ($3 \times 10^{-5}$ Torr) using a ball (AISI 52100 steel)-on-disk wear-rig. Nitrogen incorporated DLC films were deposited by r.f. plasma assisted chemical vapor deposition method. Mixtures of benzene and ammonia or nitrogen gases were used as the reaction gases for the r.f. PACVD, and Si (100) wafer was used as the substrate. In the tribo-test, effects of DLC film thickness and normal load in friction were measured and discussed. Results showed that friction of nitrogen incorporated DLC films from a mixture gas of benzene and ammonia was lower than that of 100% benzene, specially in the measurement of minimum coefficient of friction. Differences in frictional characteristics of nitrogen incorporated DLC films were explained with the changes in chemical structures of the films. Result also showed that friction of DLC films increased with the sliding contact cycle, which remarkably accompanied with roll-shaped wear debris. Mechanisms and roles of the polymer-like wear debris were presented and discussed.

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Bioequivalence Assesment of Tiropramide in Korean Male Volunteers

  • Park, Young-Jin;Chung, Youn-Bok;Kwon, Oh-Seung
    • Proceedings of the Korean Society of Applied Pharmacology
    • /
    • 2002.07a
    • /
    • pp.205-205
    • /
    • 2002
  • Two formulations of tiropramide {(${\pm}$)${\alpha}$-(benzoylamino)-4-[2-(diethylamino)-ethoxy]-N,N-dipropyl-benzenepropanamide hydrochloride}, an antispasmodic agent, were orally administered to 16 healthy Korean male volunteers by Latin crossover design with the purpose of evaluating bioeqivalence and phamacokinetics of tiropramide. Tiropramide in human plasma was determined by a gas chromatography/nitrogen phosphorus detector. Detection limit of tiropramide was 5 ng/ml. C$\_$max/ values in test and reference formulations were 93.9 ${\pm}$ 54.3 and 96.4 ${\pm}$ 51.6 ng/ml, respectively. AUC$\_$0\longrightarrowlast/ and AUC$\_$0\longrightarrowinf/ were, respectively, 330.7 ${\pm}$ 193.9 and 349.5 ${\pm}$ 205.3 ng.hr/ml for test formulation, 348.9 ${\pm}$ 207.7 and 380.8 ${\pm}$ 239.0 ng.hr/ml for reference formulation. Terminal half-life was 2.3-2.6 hr. Bioavailability differences for C/aub max/ and AUC$\_$0\longrightarrowlast/ were 2.48% and 5.22%, respectively. Minimum detection differences were less than 20% in both C$\_$max/ AUC. Based on this results, two formulations of tiropramide were considered to be bioequivalent

  • PDF

Microstructure and Soft Magnetic Properties of Fe-6.5 wt.%Si Sheets Fabricated by Powder Hot Rolling

  • Kim, Myung Shin;Kwon, Do Hun;Hong, Won Sik;Kim, Hwi Jun
    • Journal of Powder Materials
    • /
    • v.24 no.2
    • /
    • pp.122-127
    • /
    • 2017
  • Fe-6.5 wt.% Si alloys are widely known to have excellent soft magnetic properties such as high magnetic flux density, low coercivity, and low core loss at high frequency. In this work, disc-shaped preforms are prepared by spark plasma sintering at 1223 K after inert gas atomization of Fe-6.5 wt.% Si powders. Fe-6.5 wt.% Si sheets are rolled by a powder hot-rolling process without cracking, and their microstructure and soft magnetic properties are investigated. The microstructure and magnetic properties (saturation magnetization and core loss) of the hot-rolled Fe-6.5 wt.% Si sheets are examined by scanning electron microscopy, electron backscatter diffraction, vibration sample magnetometry, and AC B-H analysis. The Fe-6.5 wt.% Si sheet rolled at a total reduction ratio of 80% exhibits good soft magnetic properties such as a saturation magnetization of 1.74 T and core loss ($W_{5/1000}$) of 30.7 W/kg. This result is caused by an increase in the electrical resistivity resulting from an increased particle boundary density and the oxide layers between the primary particle boundaries.

Surface energy modification of SiOxCyHz film using low temperature PECVD by controlling the plasma process for HMDS precursor with hydrogen gas (수소 기체와 HMDS 프리커서의 저온 PECVD공정을 통한 실리콘옥사이드 박막의 표면에너지 개질)

  • Lee, Jun-Seok;Jin, Su-Bong;Choe, Yun-Seok;Choe, In-Sik;Han, Jeon-Geon
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2012.11a
    • /
    • pp.165-166
    • /
    • 2012
  • 표면의 젖음성은 어플리케이션의 매우 중요한 점으로, 이것은 표면에너지와 표면의 조도에 의해 결정된다. 표면의 젖음성을 낮추기 위하여 저온 PECVD 공정을 통해 초소수성 박막을 만들었다. $SiO_xC_yH_z$ 필름을 만들기 위하여 RF power을 사용하였고, HMDS (hexamethyl-disilazane) precursor과 함께 수소 기체를 통해 증착하였다. 이 실험에서는 수소와 RF power를 변수로 진행하였고, 이것은 소수성 박막의 표면에너지를 변화시켰다. 필름을 합성한 후 contact angle measurement 및 AFM을 사용해 표면에너지와 표면조도를 관찰하였다. 또한 필름의 화학적 결합을 알기 위해 FT-IR을 이용하였다. 여기에서 표면의 에너지는 표면의 조도와 화학적 결합상태에 의해서 영향을 받았음을 알 수 있었다.

  • PDF

The Change of $NO_{2}$ Sensing Characteristics for Carbon Nanotubes with Growth and Post Treatment Conditions (탄소 나노튜브의 성장 및 후처리 조건에 따른 이산화질소 감지특성의 변화)

  • Lee, R.Y.
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.13 no.4
    • /
    • pp.65-70
    • /
    • 2006
  • Carbon nanotubes (CNT) grown by chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD), and followed by annealing at $400{\sim}500^{\circ}C$ were investigated for gas sensing under 1.5ppm $NO_{2}$ concentration at an operating temperature of $200^{\circ}C$. The electrical resistance of CNT sensor decreased with temperature, indicating a semiconductor type. The resistance of CNT sensor decreased with $NO_{2}$ adsorption. It was found that the sensitivity of sensor was affected by humidity and decreased under microwave irradiation for 3 minutes. The CNT sensor grown by PECVD had a higher sensitivity than that of CVD.

  • PDF

Novel process of rare-earth free magnet and thermochemical route for the fabrication of permanent magnet

  • Choi, Chul-Jin
    • Proceedings of the Korean Magnestics Society Conference
    • /
    • 2013.12a
    • /
    • pp.89-89
    • /
    • 2013
  • Rare earth (RE) - transition metal based high energy density magnets are of immense significance in various engineering applications. $Nd_2Fe_{14}B$ magnets possess the highest energy product and are widely used in whole industries. Simultaneously, composite alloys that are cheap, cost effective and strong commercially available have drawn great attention, because rare-earth metals are costly, less abundant and strategic shortage. We designed rare-earth free alloys and fabrication process and developed novel route to prepare $Nd_2Fe_{14}B$ powders by wet process employing spray drying and reduction-diffusion (R-D) without the use of high purity metals as raw material. MnAl-base permanent magnetic powders are potentially important material for rare-earth free magnets. We have prepared the nano-sized MnAl powders by plasma arc discharge and micron-sized MnAl powders by gas atomization. They showed good magnetic property, compared with that from conventional processes. $Nd_2Fe_{14}B$ powders with high coercivity of more than 10 kOe were successfully synthesized by adjusting R-D step, followed by precise washing system. It is considered that this process can be applied for the recycling of RE-elements extracted from ewaste including motors.

  • PDF