• 제목/요약/키워드: OES(Optical Emission Spectroscopy)

검색결과 209건 처리시간 0.03초

유도결합 플라즈마를 이용한 BST 박막의 식각 특성 연구 (A study on the etch characteristics of BST thin films using inductively coupled plasma)

  • 김관하;김경태;김창일;김태형;이철인
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 춘계학술대회 논문집 반도체 재료 센서 박막재료 전자세라믹스
    • /
    • pp.22-25
    • /
    • 2004
  • In this study, BST thin films were etched with inductively coupled $CF_4/(Cl_2+Ar)$ plasmas. The etch characteristics of BST thin films as a function of $CF_4/(Cl_2+Ar)$ gas mixtures were analyzed using quadrupole mass spectrometry (QMS) and optical emission spectroscopy (OES). The maximum etch rate of the BST thin films was 53.6 nm/min because small addition of $CF_4$ to the $Cl_2/Ar$ mixture increased chemical effect. The optimum condition appears to be under a 10 % $CF_4/(Cl_2+Ar)$ gas mixture in the present work.

  • PDF

대기압 멀티 플라즈마 젯 소스의 균일한 방전 특성 연구

  • 박혜진;조태훈;윤명수;권기청
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.263.1-263.1
    • /
    • 2014
  • 현재 대기압 플라즈마는 신재생 에너지, 반도체, 표면처리, 바이오산업 등에서 다양하게 활용되고 있으며, 그에 대한 연구들이 진행되고 있다. 바이오산업에서의 플라즈마는 살균, 제독, 세포재생 등으로 연구되고 있으며, 이런 대기압 플라즈마의 응용은 꾸준히 증가하는 추세이다. 선행연구에 따라 멀티 플라즈마 젯 소스의 필요성이 제기되었으며, 플라즈마의 균일한 방전조건이 화두되어 왔다. 먼저 각 소스별 방전개시전압과 가스 유량에 따른 플라즈마의 전류와 전압 변화를 알아보았고, 이에 대한 문제점들을 보안하기 위해 앞서 연구한 멀티소스를 개선하여 플라즈마 방전 특성 연구를 진행하였다. 본 연구에서는 기체유입방식이 다른 두 종류의 멀티 플라즈마 젯 소스를 이용하여 각 소스 채널별 유량변화에 따른 방전개시전압과 전류, OES (Optical Emission Spectroscopy)로 각 소스의 플라즈마 방전 특성을 측정하여 각 소스의 채널별 방전 균일도를 비교 분석하였다.

  • PDF

EPD time delay in etching of stack down WSix gate in DPS+ poly chamber

  • Ko, Yong Deuk;Chun, Hui-Gon
    • 한국반도체및디스플레이장비학회:학술대회논문집
    • /
    • 한국반도체및디스플레이장비학회 2002년도 추계학술대회 발표 논문집
    • /
    • pp.130-136
    • /
    • 2002
  • Device makers want to make higher density chips as devices shrink, especially WSix poly stack down is one of the key issues. However, EPD (End Point Detection) time delay was happened in DPS+ poly chamber which is a barrier to achieve device shrink because EPD time delay killed test pattern and next generation device. To investigate the EPD time delay, a test was done with patterned wafers. This experimental was carried out combined with OES(Optical Emission Spectroscopy) and SEM (Scanning Electron Microscopy). OES was used to find corrected wavelength in WSix stack down gate etching. SEM was used to confirm WSix gate profile and gate oxide damage. Through the experiment, a new wavelength (252nm) line of plasma is selected for DPS+ chamber to call correct EPD in WSix stack down gate etching for current device and next generation device.

  • PDF

$CF_4$ 첨가에 따른 po1yimide 박막의 패터닝 연구 (The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas)

  • 강필승;김창일;김상기
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI film was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was 8300$\AA$/min and vertical profile was approximately acquired 90$^{\circ}$ at CF$_4$/(CF$_4$+O$_2$) of 0.2. The selectivies of polyimide to PR and SiO$_2$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of 07CF4 were investigated by optical emission spectrometer (OES).

  • PDF

차세대 소자를 위한 MgO thin films 의 식각 특성 (Etch mechanism of MgO thin films for next generation devices)

  • 우종창;김관하;김창일
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 제37회 하계학술대회 논문집 C
    • /
    • pp.1380-1381
    • /
    • 2006
  • 본 연구는 MgO 박막을 유도 결합 플라즈마를 이용하여, $CF_4/Ar$ 가스 혼합비로 식각하였고, RF 전력, DC-bais 전압과 Process Pressure를 변경하면서 실험하였다. 빛 방출 분석(optical emission spectroscopy, OES)을 이용하여, 플라즈마 진단과 식각 특성과의 관계를 분석하였다. OES 결과로부터 $CF_4$ 첨가비를 50%까지는 증가시킴에 따라 식각률이 증가하였고, 그 후에 Ar 이온이 감소함으로써 식각률이 감소하였다. MgO 박막의 최고 식각률은 50%의 $CF_4/(CF_{4}+Ar)$에서 700 W의 RF 전력, -150 V의 DC-bias 전압, 반응로 압력은 15 mTorr, 기판 온도는 $30^{\circ}C$로 고정시켰을 때 29nm/min이었다. 이 조건에서 MgO 박막과 $SiO_2$의 선택비는 0.06 이었다.

  • PDF

Plasma Impedance Monitoring with Real-time Cluster Analysis for RF Plasma Etching Endpoint Detection of Dielectric Layers

  • 장해규;채희엽
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.123.2-123.2
    • /
    • 2013
  • Etching endpoint detection with plasma impedance monitoring (PIM) is demonstrated for small area dielectric layers inductive coupled plasma etching. The endpoint is determined by the impedance harmonic signals variation from the I-V monitoring system. Measuring plasma impedance has been examined as a relatively simple method of detecting variations in plasma and surface conditions without contamination at low cost. Cluster analysis algorithm is modified and applied to real-time endpoint detection for sensitivity enhancement in this work. For verification, the detected endpoint by PIM and real-time cluster analysis is compared with widely used optical emission spectroscopy (OES) signals. The proposed technique shows clear improvement of sensitivity with significant noise reduction when it is compared with OES signals. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as end point detection.

  • PDF

Analysis of H-ICP Source by Noninvasive Plasma Diagnostics of Etching Process

  • Park, Kun-Joo;Kim, Min-Shik;Lee, Kwang-Min;Chae, Hee-Yeop;Lee, Hi-Deok
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.126-126
    • /
    • 2009
  • Noninvasive plasma diagnostic technique is introduced to analyze and characterize HICP (Helmholtz Inductively Coupled Plasma) source during the plasma etching process. The HICP reactor generates plasma mainly through RF source power at 13.56MHz RF power and RF bias power of 12.56MHz is applied to the cathode to independently control ion density and ion energy. For noninvasive sensors, the RF sensor and the OES (Optical emission spectroscopy) were employed since it is possible to obtain both physical and chemical properties of the reactor with plasma etching. The plasma impedance and optical spectra were observed while altering process parameters such as pressure, gas flow, source and bias power during the poly silicon etching process. In this experiment, we have found that data measured from these noninvasive sensors can be correlated to etch results. In this paper, we discuss the relationship between process parameters and the measurement data from RF sensor and OES such as plasma impedance and optical spectra and using these relationships to analyze and characterize H-ICP source.

  • PDF

플라즈마 식각공정 시 By-product와 Etchant gas를 이용한 식각 종료점 검출 (Endpoint Detection Using Both By-product and Etchant Gas in Plasma Etching Process)

  • 김동일;박영국;한승수
    • 전기전자학회논문지
    • /
    • 제19권4호
    • /
    • pp.541-547
    • /
    • 2015
  • 현재 반도체 제조 공정에서 집적회로의 소자 크기가 점점 작아짐에 따라 플라즈마 식각 공정에서의 식각 종료점 검출이 더 어려워지고 있다. 식각 종료점 검출은 위해서는 반도체 장비에 다양한 종류의 센서를 설치하고 이 센서를 통해 데이터를 얻고 분석해야 한다. 기존의 식각 종료점 검출 방식은 주로 By-product의 OES 데이터를 분석하여 진행되었는데 본 연구에서는 By-product 와 Etchant gas 의 OES 데이터를 함께 분석하여 식각 종료점 검출 결과에 신뢰성을 더 높이고자 하였다. 또한, 데이터 분석을 위해 OES-SNR, PCA, Polynomial Regression, eHMM 등의 기법들을 사용하여 진행하였다.

실리카 도파로(Silica Waveguide) 제작을 위한 Inductively Coupled Plasma에 의한 산화막 식각특성 연구 (The study of oxide etching characteristics using inductively coupled plasma for silica waveguide fabircation)

  • 박상호;권광호;정명영;최태구
    • 한국진공학회지
    • /
    • 제6권3호
    • /
    • pp.287-292
    • /
    • 1997
  • 본 실험은 고밀도 플라즈마원인 inductively coupled plasma(ICP)를 이용하여 실리카 도파로의 코아를 형성하고자 하였다. $CF_4/CHF_3$유량비, bias power 및 source power 등의 변화에 따른 산화막의 식각 특성 즉 식각 속도, 식각 단면 및 식각된 표면의 거칠기 등의 변화를 검토하였다. 또한 single Langmuir probe 및 optical emission spectroscopy(OES)를 이용하여, 식각 변수에 따른 ICP의 플라즈마 특성을 관찰하였다. 이상의 결과를 토대로, $SiO_2-P_2O_5$로 구성된 실리카 도파로의 코아(core)층을 형성하였고, 이때 최적화된 식각 조건 에서 식각 속도는 380nm/min이고, 마스크 층으로 사용된 Al(Si 1%)와 산화막과의 식각 선 택비는 30:1이상이였다. 형성된 실리카 도파로를 scanning electron microscopy(SEM)으로 관찰한 결과, 코아층의 식각 단면이 수직하고 패턴 선폭의 손실이 거의 없음을 확인하였다.

  • PDF

플라즈마질화에서 발생기 질소와 질화 속도에 관한 연구 (The Effect of Activated Nitrogen Species for Diffusion Rate during a Plasma Nitriding Process)

  • 김상권;김성완
    • 열처리공학회지
    • /
    • 제23권3호
    • /
    • pp.150-155
    • /
    • 2010
  • Generally, plasma nitriding process has composed with a nitriding layer within glow discharge region occurred by energy exchange. The dissociations of nitrogen molecules are very difficult to make neutral atoms or ionic nitrogen species via glow discharge area. However, the captured electrons in which a double-folded screen with same potential cathode can stimulate and come out some single atoms or activated ionic species. It was showed an important thing that is called "hat is a dominant component in this nitriding process?" in plasma nitriding process and it can take an effective species for without compound layer. During a plasma nitriding process, it was able to estimate with analyzing and identification by optical emission spectroscopy (OES) study. And then we can make comparative studies on the nitrogen transfer with plasma nitriding and ATONA process using plasma diagnosis and metallurgical observation. From these observations, we can understand role of active species of nitrogen, like N, $N^+$, ${N_2}^+$, ${N_2}^*$ and $NH_x$-radical, in bulk plasma of each process. And the same time, during DC plasma nitriding and other processes, the species of FeN atom or any ionic nitride species were not detected by OES analyzing.