• 제목/요약/키워드: OES(Optical Emission Spectroscopy)

검색결과 209건 처리시간 0.027초

RF 플라즈마에 의해 생성된 산소 플라즈마의 발광 스펙트럼 (Optical Emission Spectra of Oxygen Plasma Produced by Radio-Frequency Plasma)

  • 김도엽;김민수;김태훈;김군식;최현영;조민영;전수민;박성동;김진하;김은도;황도원;임재영
    • 한국진공학회지
    • /
    • 제18권2호
    • /
    • pp.102-107
    • /
    • 2009
  • 본 연구에서는 ZnO 박막을 성장하기 위한 plasma-assisted molecular beam epitaxy (PAMBE)에 장착된 플라즈마건에 13.56 MHz의 rf 전력을 인가하였을 때 발생되는 산소 플라즈마의 발광 스펙트럼을 광발광 분광기(optical emission spectroscopy: OES)를 이용하여 조사하였다. 실험은 산소 가스 유량을 1 sccm에서 20 sccm, rf 전력을 25W에서 250 W 범위에서 플라즈마건의 오리피스의 직경을 각각 3 mm 와 5 mm로 달리하여 행해졌다. 산소 플라즈마를 발생시켰을 때 오리피스의 직경에 상관없이 전형적인 산소 플라즈마의 발광 스펙트럼이 관측되었다. 특히 776.8 nm와 843.9 nm에서 $3p^{5}P-3s^{5}S^{0}$, $3p^{3}P-3s^{3}S^{0}$ 천이에 기인하는 강한 산소 원자 발광선이 관측되었다. 산소 유량과 rf 파워가 증가함에 따라 776.8 nm와 843.9 nm의 발광 세기는 증가하였고, 776.8 nm의 스펙트럼 발광 세기의 증가율이 843.9 nm의 스펙트럼 발광 세기 증가율보다 컸다. 또한 오리피스 직경이 3 mm일 때가 5 mm일 때보다 산소 플라즈마가 더 안정적으로 발생하였다.

대기압 유전체배리어방전의 발광특성 (Optical Emission Characteristics of Atmospheric Pressure Dielectric Barrier Discharge)

  • 김진기;김윤기
    • 한국재료학회지
    • /
    • 제25권2호
    • /
    • pp.100-106
    • /
    • 2015
  • Plasma properties of dielectric barrier discharges (DBDs) at atmospheric pressure were measured and characterized using optical emission spectroscopy. Optical emissions were measured from argon, nitrogen, or air discharges generated at 5-9 kV using 20 kHz power supply. Emissions from nitrogen molecules were markedly measured, irrespective of discharge gases. The intensity of emission peaks was increased with applied voltage and electrode gap. The short wavelength peaks (315.9 nm and 337.1 nm) measured at the middle of DBDs were significantly increased with applied voltage. The optical emission from DBDs decreased with the addition of oxygen gas, which was especially significant in argon discharge. Emission from oxygen molecules cannot be measured from air discharge and argon discharge with 4.8% oxygen. The emission intensity at 337.1 nm and 357.7 nm related with nitrogen molecule was sensitively changed with electrode types and discharge voltages. However, the pattern of argon emission spectrum was nearly the same, irrespective of electrode type, oxygen content, and discharge voltage.

교류 펄스 전압을 이용한 평판형 대기압 유전격벽방전 플라즈마의 특성 분석 (A Study on the Dielectric Barrier Discharges Plasmas of Flat Atmospheric Pressure Using an AC Pulse Voltage)

  • 이종봉;하창승;김동현;이호준;이해준
    • 전기학회논문지
    • /
    • 제61권5호
    • /
    • pp.717-720
    • /
    • 2012
  • Various types of dielectric-barrier-discharge (DBD) devices have been developed for diverse applications for the last decade. In this study, a flat non-thermal DBD micro plasma source under atmospheric pressure has been developed. The flat-panel type plasma is generated by bipolar pulse voltages, and driving gas is air. In this study, the plasma source was investigated with intensified charge coupled device (ICCD) images and Optical Emission Spectroscopy (OES). The micro discharges are generated on the crossed electrodes. For theoretical analysis, 2-dimensional fluid simulation was performed. The plasma source can be driven in air, and thus the operation cost is low and the range of application is wide.

반응성 증착용 펄스 플라즈마 공정의 진단 (A Diagnostic Study of Pulsed Plasma Process for Reactive Deposition)

  • 주정훈
    • 한국표면공학회지
    • /
    • 제45권4호
    • /
    • pp.168-173
    • /
    • 2012
  • A real-time monitoring of an immersed antenna type inductively coupled plasma (ICP) was done with optical emission spectroscopy (OES) to check the reports that sputtered atom density is decreasing as the ICP power is increased. At 10 mTorr pressure of Ar, Mg was sputtered by a bipolar pulsed power supply into 2 MHz ICP which has an insulator covered 2.5 turn antenna. Emitted light was collected in two different positions: above the target and inside the ICP region. With 100 W of Mg sputtering power, the intensities of Mg I (285.06 nm), Mg II (279.48 nm), Ar I (420.1 nm) were increased constantly with ICP power from 100 W to 600 W. At 500 W, the intensity of $Mg^+$ exceeded that of Mg under PID controlled discharge voltage of 180 V. The ratio of Mg II/Mg I was increased from 0.45 to 2.71 approximately 6 times.

준지도학습 기반 반도체 공정 이상 상태 감지 및 분류 (Semi-Supervised Learning for Fault Detection and Classification of Plasma Etch Equipment)

  • 이용호;최정은;홍상진
    • 반도체디스플레이기술학회지
    • /
    • 제19권4호
    • /
    • pp.121-125
    • /
    • 2020
  • With miniaturization of semiconductor, the manufacturing process become more complex, and undetected small changes in the state of the equipment have unexpectedly changed the process results. Fault detection classification (FDC) system that conducts more active data analysis is feasible to achieve more precise manufacturing process control with advanced machine learning method. However, applying machine learning, especially in supervised learning criteria, requires an arduous data labeling process for the construction of machine learning data. In this paper, we propose a semi-supervised learning to minimize the data labeling work for the data preprocessing. We employed equipment status variable identification (SVID) data and optical emission spectroscopy data (OES) in silicon etch with SF6/O2/Ar gas mixture, and the result shows as high as 95.2% of labeling accuracy with the suggested semi-supervised learning algorithm.

플라즈마 정보인자 기반 가상계측을 통한 Si 식각률의 첫 장 효과 분석 (Analysis of First Wafer Effect for Si Etch Rate with Plasma Information Based Virtual Metrology)

  • 유상원;권지원
    • 반도체디스플레이기술학회지
    • /
    • 제20권4호
    • /
    • pp.146-150
    • /
    • 2021
  • Plasma information based virtual metrology (PI-VM) that predicts wafer-to-wafer etch rate variation after wet cleaning of plasma facing parts was developed. As input parameters, plasma information (PI) variables such as electron temperature, fluorine density and hydrogen density were extracted from optical emission spectroscopy (OES) data for etch plasma. The PI-VM model was trained by stepwise variable selection method and multi-linear regression method. The expected etch rate by PI-VM showed high correlation coefficient with measured etch rate from SEM image analysis. The PI-VM model revealed that the root cause of etch rate variation after the wet cleaning was desorption of hydrogen from the cleaned parts as hydrogen combined with fluorine and decreased etchant density and etch rate.

ICP에 의한 $BCI_3/CI_2$플라즈마 내에서 Pt 박막의 식각 특성 (Properties of the Pt Thin Etching in $BCI_3/CI_2$gas by Inductive Coupled Plasma)

  • 김창일;권광후
    • 한국전기전자재료학회논문지
    • /
    • 제11권10호
    • /
    • pp.804-808
    • /
    • 1998
  • The inductively coupled plasma(ICP) etching of platinum with BCl$_3$/Cl$_2$ gas chemistry has been studied. X-ray photoelectron spectroscopy (XPS) was used to investigate the chemical binding states of the etched surface. The plasma characteristics was extracted from optical emission spectroscopy (OES) and a single Langmuir probe. In this case of Pt etching using BCl$_3$/Cl$_2$ gas chemistries, the result of OES and Langmuir probe showed the increase of Cl radicals and ion current densities in the plasmas with increasing Cl$_2$ gas ratio. At the same time, XPS results indicated that the intensities of Pt 4f decreased with increasing Cl$_2$ gas ratio. The decrease of Pt 4f intensities implies the increase of residue layer thickness on the etched Pt surface.

  • PDF

PLS와 SVM복합 알고리즘을 이용한 식각 종료점 검출 (Endpoint Detection Using Hybrid Algorithm of PLS and SVM)

  • 이윤근;한이슬;홍상진;한승수
    • 한국전기전자재료학회논문지
    • /
    • 제24권9호
    • /
    • pp.701-709
    • /
    • 2011
  • In semiconductor wafer fabrication, etching is one of the most critical processes, by which a material layer is selectively removed. Because of difficulty to correct a mistake caused by over etching, it is critical that etch should be performed correctly. This paper proposes a new approach for etch endpoint detection of small open area wafers. The traditional endpoint detection technique uses a few manually selected wavelengths, which are adequate for large open areas. As the integrated circuit devices continue to shrink in geometry and increase in device density, detecting the endpoint for small open areas presents a serious challenge to process engineers. In this work, a high-resolution optical emission spectroscopy (OES) sensor is used to provide the necessary sensitivity for detecting subtle endpoint signal. Partial Least Squares (PLS) method is used to analyze the OES data which reduces dimension of the data and increases gap between classes. Support Vector Machine (SVM) is employed to detect endpoint using the data after PLS. SVM classifies normal etching state and after endpoint state. Two data sets from OES are used in training PLS and SVM. The other data sets are used to test the performance of the model. The results show that the trained PLS and SVM hybrid algorithm model detects endpoint accurately.

Measurement of Hydroxyl Radical Density at Bio-Solutions Generated from the Atmospheric Pressure Non-Thermal Plasma Jet

  • Kim, Yong Hee;Hong, Young June;Uhm, Han Sub;Choi, Eun Ha
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.494-494
    • /
    • 2013
  • Atmospheric pressure non-thermal plasma of the needle-typed interaction with aqueous solutions has received increasing attention for their biomedical applications [1]. In this context, surface discharges at bio-solutions were investigated experimentally. We have generated the non-thermal plasma jet bombarding the bio-solution surface by using an Ar gas flow and investigated the emission lines by OES (optical emission spectroscopy) [2]. Moreover, The non-thermal plasma interaction with bio-solutions has received increasing attention for their biomedical applications. So we researched, the OH radical density of various biological solutions in the surface by non-thermal plasma were investigated by Ar gases. The OH radical density of DI water; deionized water, DMEM Dulbecco's modified eagle medium, and PBS; 1x phosphate buffered saline by non-thermal plasma jet. It is noted that the OH radical density of DI water and DMEM are measured to be about $4.33{\times}1016cm-3$ and $2.18{\times}1016cm-3$, respectively, under Ar gas flow 250 sccm (standard cubic centimeter per minute) in this experiment. The OH radical density of buffer solution such as PBS has also been investigated and measured to be value of about $2.18{\times}1016cm-3$ by the ultraviolet optical absorption spectroscopy.

  • PDF

Roll-to-Roll Barrier Coatings on PET Film by Using a Closed Drift Magnetron Plasma Enhanced Chemical Vapor Deposition

  • Lee, Seunghun;Kim, Jong-Kuk;Kim, Do-Geun
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2012년도 춘계학술발표회 논문집
    • /
    • pp.124-125
    • /
    • 2012
  • Korea institute of materials science (KIMS) use a linear deposition source called as a closed drift linear plasma source (CDLPS) as well as dual magnetron sputtering (DMS) to deposit SiOxCyHz films in $HMDSO/O_2$ plasma. The CDLPS generates linear plasma using closed drifting electrons and can reduce device degradations due to energetic ion bombardments on organic devices such as organic photovoltaic and organic light emission diode by controlling an ion energy. The deposited films are investigated by Fourier transform infrared (FT-IR) spectroscopy, X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). Optical emission spectroscopy (OES) is used to measure relative radical populations of dissociation and recombination products such as H, CH, and CO in plasma. And SiOx film is applied to a barrier film on organic photovoltaic devices.

  • PDF