• Title/Summary/Keyword: OES(Optical Emission Spectroscopy)

Search Result 209, Processing Time 0.04 seconds

Influence of Ne-Xe Gas Mixture Ratio on the Extreme Ultraviolet (EUV) Emission Measurement from the Coaxially Focused Plasma

  • Lee, Sung-Hee;Hong, Young-June;Choi, Duk-In;Uhm, Han-Sup;Choi, Eun-Ha
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.220-220
    • /
    • 2011
  • The Ne-Xe plasmas in dense plasma-focus device with coaxial electrodes were generated for extreme ultraviolet (EUV) lithography. The influence of gas mixture ratio, Ne-Xe (1, 10, 15, 20, 25, 30, 50%) mixture gas, on EUV emission measurement, EUV intensity and electron temperature in the coaxially focused plasma were investigated. An input voltage of 4.5 kV was applied to the capacitor bank of 1.53mF and the diode chamber was filled with Ne-Xe mixture gas at a prescribed pressure. The inner surface of the cylindrical cathode was lined by an acetal insulator. The anode was made of tin metal. The EUV emission signal of the wavelength in the range of 6~16 nm has been detected by a photo-detector (AXUV-100 Zr/C, IRD). The visible emission line was also detected by the composite-grating spectrometer of the working wavelength range of 200~1100 nm (HR 4000CG). The electron temperature is obtained by the optical emission spectroscopy (OES) and measured by the Boltzmann plot with the assumption of local thermodynamic equilibrium (LTE).

  • PDF

Synthesis of SiNx:H films in PECVD using RF/UHF hybrid sources

  • Shin, K.S.;Sahu, B.B.;Lee, J.S.;Hori, M.;Han, Jeon G.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.136.1-136.1
    • /
    • 2015
  • In the present study, UHF (320 MHz) in combination with RF (13.56 MHz) plasmas was used for the synthesis of hydrogenated silicon nitride (SiNx:H) films by PECVD process at low temperature. RF/UHF hybrid plasmas were maintained at a fixed pressure of 410 mTorr in the N2/SiH4 and N2/SiH4/NH3 atmospheres. To investigate the radical generation and plasma formation and their control for the growth of the film, plasma diagnostic tools like vacuum ultraviolet absorption spectroscopy (VUVAS), optical emission spectroscopy (OES), and RF compensated Langmuir probe (LP) were utilized. Utilization of RF/UHF hybrid plasmas enables very high plasma densities ~ 1011 cm-3 with low electron temperature. Measurements using VUVAS reveal the UHF source is quite effective in the dissociation of the N2 gas to generate more active atomic N. It results in the enhancement of the Si-N bond concentration in the film. Consequently, the deposition rate has been significantly improved up to 2nm/s for the high rate synthesis of highly transparent (up to 90 %) SiNx:H film. The films properties such as optical transmittance and chemical composition are investigated using different analysis tools.

  • PDF

Characteristics of Bovine Teeth Whitening in Accordance with Gas Environments of Atmospheric Pressure Nonthermal Plasma Jet

  • Sim, Geon Bo;Kim, Yong Hee;Kwon, Jae Sung;Park, Daehoon;Hong, Seok Jun;Kim, Young Seok;Lee, Jae Lyun;Lee, Gwang Jin;Lim, Hwan Uk;Kim, Kyung Nam;Jung, Gye Dong;Choi, Eun Ha
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.250.2-250.2
    • /
    • 2014
  • Currently, teeth whitening method which is applicable to dental surgery is that physician expertises give medical treatment to teeth directly dealed with a high concentration of hydrogen peroxide and carbamide peroxide. If hydrogen peroxide concentration is too high for treatment of maximized teeth whitening effect [1], it is harmful to the human body [2]. To the maximum effective and no harmful teeth whitening effect in a short period of time at home, we have observed the whitening effect using carbamide peroxide (15%) and a low-temperature atmospheric pressure plasma jet which is regulated by the Food and Drug Administration. The gas supplied conditions of the non-thermal atmospheric pressure plasma jet was with the humidified (0.6%) gas in nitrogen or air at gas flow rate of 1000 sccm. Also, the measurement of chemical species from the jet was carried out using the optical emission spectroscopy (OES), the evidence of increased reactive oxygen species compared to non-humidified plasma jet. We have found that the whitening effect of the plasma is very excellent through this experiment, when bovine teeth are treated in carbamide peroxide (15%) and water vapor (0.2 to 1%). The brightness of whitening teeth was increased up to 2 times longer in the CIE chromaticity coordinates. The colorimetric spectrometer (CM-3500d) can measure color degree of whitening effect.

  • PDF

The Characteristics of (Ba,Sr)$TiO_3$ Thin Films Etched With The high Density $BCl_3/Cl_2$/Ar Plasma ($BCl_3/Cl_2$/Ar 고밀도 플라즈마에서 (Ba,Sr)$TiO_3$ 박막의 식각 특성에 관한 연구)

  • Kim, Seung-Bum;Kim, Chang-Il
    • Proceedings of the KIEE Conference
    • /
    • 1999.11d
    • /
    • pp.863-866
    • /
    • 1999
  • (Ba,Sr)$TiO_3$ thin films have attracted groat interest as new dielectric materials of capacitors for ultra-large-scale integrated dynamic random access memories (ULSI-DRAMs) such as 1 Gbit or 4 Gbit. In this study, inductively coupled $BCl_3/Cl_2$/Ar plasmas was used to etch (Ba,Sr)$TiO_3$ thin films. RF power/dc bias voltage = 600 W/-250 V and chamber pressure was 10 mTorr. The $Cl_2/(Cl_2+Ar)$ was fixed at 0.2, the (Ba,Sr)$TiO_3$ thin films were etched adding $BCl_3$. The highest (Ba,Sr)$TiO_3$ etch rate is 480$\AA/min$ at 10 % $BCl_3$ adding to $Cl_2$/Ar. The characteristics of the plasmas were estimated using optical emission spectroscopy (OES). The change of Cl, B radical density measured by OES as a function of $BCl_3$ percentage in $Cl_2$/Ar. The highest Cl radical density was shown at the addition of 10% $BCl_3$ to $Cl_2$/Ar. To study on the surface reaction of (Ba,Sr)$TiO_3$ thin films was investigated by XPS analysis. Ion enhancement etching is necessary to break Ba-O bond and to remove $BaCl_2$. There is a little chemical reaction between Sr and Cl, but Sr is removed by physical sputtering. There is a chemical reaction between Ti and Cl, and Tic14 is removed with ease. The cross-sectional of (Ba,Sr)$TiO_3$ thin film was investigated by scanning electron microscopy (SEM), the etch slope is about $65\;{\sim}\;70$.

  • PDF

펄스 플라즈마를 이용한 라디칼 제어에 의한 실리콘 건식 식각시 RIE lag 개선에 관한 연구

  • Park, Wan-Jae;Hwang, Gi-Ung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.285-285
    • /
    • 2012
  • 본 논문에서는 HBr, O2 gas를 사용하여 나노급 반도체 디바이스에 응용되는 실리콘 트렌치 패턴의 건식 식각시 중요한 인자중의 하나인 RIE (Reactive Ion Etching) Lag현상에 관하여 연구하였다. 실험에서 사용된 식각 장치는 유도 결합 플라즈마(Inductively Coupled Plasma) 식각 장치로써, Source Power및 기판에 인가되는 Bias power 모두 13.56 MHz로 구동되는 장치이며, Source Power와 Bias Power 각각에 펄스 플라즈마를 인가할 수 있도록 제작 되어있다. HBr과 O2 gas를 사용한 트렌치 식각 중 발생하는 식각 부산물인 SiO는 프로파일 제어에 중요한 역할을 함과 동시에, 표면 산화로 인해 Trench 폭을 작게 만들어 RIE lag를 심화시킨다. Br은 실리콘을 식각하는 중요한 라디칼이며, SiO는 실리콘과 O 라디칼의 반응으로부터 형성되는 식각 부산물이다. SiO가 많으면, 실리콘 표면의 산화가 많이 진행될 것을 예측할 수 있으며, 이에 따라 RIE lag도 나빠지게 된다. 본 실험에서는 Continuous Plasma와 Bias Power의 펄스, Source Power의 펄스를 각각 적용하고, 각각의 경우 Br과 SiO 라디칼의 농도를 Actinometrical OES (Optical Emission Spectroscopy) tool을 사용하여 비교하였다. 두 라디칼 모두 Continuous Plasma와 Bias Power 펄스에 의해서는 변화가 없는 반면, Source Power 펄스에 의해서만 변화를 보였다. Source Power 값이 증가함에 따라 Br/SiO 라디칼 비가 증가함을 알 수 있었고, 표면 산화가 적게 형성됨을 예측할 수 있다. 이 조건의 경우, Continuous Plasma대비 Source Power 펄스에 의하여 RIE lag가 30.9 %에서 12.8 %로 현격히 개선된 결과를 얻을 수 있었다. 또한, 식각된 실리콘의 XPS 분석 결과, Continuous Plasma대비 Source Power 펄스의 경우 표면 산화층이 적게 형성되었음을 확인할 수 있었다. 따라서, 본 논문에서는 식각 중 발생한 Br과 SiO 라디칼을 Source Power펄스에 의한 제어로 RIE lag를 개선할 수 있으며, 이러한 라디칼의 변화는 Actinometrical OES tool을 사용하여 검증할 수 있음을 보여준다.

  • PDF

Observation of Plasma Shape by Continuous dc and Pulsed dc (직류 방전과 펄스 직류 방전에 의한 플라즈마 형상 관찰)

  • Yang, Won-Kyun;Joo, Jung-Hoon
    • Journal of the Korean institute of surface engineering
    • /
    • v.42 no.3
    • /
    • pp.133-138
    • /
    • 2009
  • Effects of bipolar pulse driving frequency between 50 kHz and 250 kHz on the discharge shapes were analyzed by measuring plasma characteristics by OES (Optical Emission Spectroscopy) and Langmuir probe. Plasma characteristics were modeled by a simple electric field analysis and fluid plasma modeling. Discharge shapes by a continuous dc and bipolar pulsed dc were different as a dome-type and a vertical column-type at the cathode. From OES, the intensity of 811.5 nm wavelength, the one of the main peaks of Ar, decreased to about 43% from a continuous dc to 100 kHz. For increasing from 100 kHz to 250 kHz, the intensity of 811.5 nm wavelength also decreased by 46%. The electron density decreased by 74% and the electron temperature increased by 36% at the specific position due to the smaller and denser discharge shape for increasing pulse frequency. Through the numerical analysis, the negative glow shape of a continuous dc were similar to the electric potential distribution by FEM (Finite Element Method). For the bipolar pulsed dc, we found that the electron temperature increased to maximum 10 eV due to the voltage spikes by the fast electron acceleration generated in pre-sheath. This may induce the electrons and ions from plasma to increase the energetic substrate bombardment for the dense thin film growth.

The diagnosis of Plasma Through RGB Data Using Rough Set Theory

  • Lim, Woo-Yup;Park, Soo-Kyong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.413-413
    • /
    • 2010
  • In semiconductor manufacturing field, all equipments have various sensors to diagnosis the situations of processes. For increasing the accuracy of diagnosis, hundreds of sensors are emplyed. As sensors provide millions of data, the process diagnosis from them are unrealistic. Besides, in some cases, the results from some data which have same conditions are different. We want to find some information, such as data and knowledge, from the data. Nowadays, fault detection and classification (FDC) has been concerned to increasing the yield. Certain faults and no-faults can be classified by various FDC tools. The uncertainty in semiconductor manufacturing, no-faulty in faulty and faulty in no-faulty, has been caused the productivity to decreased. From the uncertainty, the rough set theory is a viable approach for extraction of meaningful knowledge and making predictions. Reduction of data sets, finding hidden data patterns, and generation of decision rules contrasts other approaches such as regression analysis and neural networks. In this research, a RGB sensor was used for diagnosis plasma instead of optical emission spectroscopy (OES). RGB data has just three variables (red, green and blue), while OES data has thousands of variables. RGB data, however, is difficult to analyze by human's eyes. Same outputs in a variable show different outcomes. In other words, RGB data includes the uncertainty. In this research, by rough set theory, decision rules were generated. In decision rules, we could find the hidden data patterns from the uncertainty. RGB sensor can diagnosis the change of plasma condition as over 90% accuracy by the rough set theory. Although we only present a preliminary research result, in this paper, we will continuously develop uncertainty problem solving data mining algorithm for the application of semiconductor process diagnosis.

  • PDF

Dry Etching of AlGaAs and InGaP in a Planar Inductively Coupled B$Cl_3$ Plasma (평판형 고밀도 유도결합 B$Cl_3$ 플라즈마를 이용한 AlGaAs와 InGaP의 건식식각)

  • ;;;;;;;S. J. Pearton
    • Journal of the Korean institute of surface engineering
    • /
    • v.36 no.4
    • /
    • pp.334-338
    • /
    • 2003
  • $BCl_3$고밀도 평판형 유도결합 플라즈마(High Density Planar Inductively Coupled Plasma)를 이용하여 AlGaAs와 InGaP의 건식식각에 대하여 연구하였다. 본 실험에서는 ICP 소스파워(0∼500 W), RIE 척 파워(0-150 W), 공정압력(5∼15 mTorr)의 변화에 따른 AlGaAs와 InGaP의 식각률, 식각단면 그리고 표면 거칠기 등을 분석 하였다. 또, 공정 중 OES(Optical Emission Spectroscopy)를 이용하여 in-situ로 플라즈마를 관찰하였다. $BCl_3$ 유도결합 플라즈마를 이용한 AlGaAs의 식각결과는 우수한 수직측벽도와(>87$^{\circ}$) 깨끗하고 평탄한 표면(RMS roughness = 0.57 nm)을 얻을 수 있었다. 반면, InGaP의 경우에는 식각 후 표면이 다소 거칠어진 것을 확인할 수 있었다. 모든 공정조건에서 AlGaAs의 식각률이 InGaP보다 더 높았다. 이는 $BCl_3$ 유도결합 플라즈마를 이용하여 InGaP을 식각하는 동안 $InCl_{x}$ 라는 휘발성이 낮은 식각부산물이 형성되어 나타난 결과이다. ICP 소스파워와 RIE 척파워가 증가하면 AlGaAs와 InGaP모두 식각률이 증가하였지만, 공정압력의 증가는 식각률의 감소를 가져왔다. 그리고 OES peak세기는 공정압력과 ICP 소스파워의 변화에 따라서는 크게 변화하였지만 RIE 척파워에 따라서는 거의 영향을 받지 않았다.

A Study on Co-precipitation of Positive Electrode Active Material for Recycled Lithium-ion Batteries Using Black Powder Leaching Solution (블랙 파우더 침출용액을 이용한 재활용 리튬이온전지의 양극 활물질 공침법에 대한 연구)

  • JAEGEUN LEE;JAEKYUNG LEE;SUNGGI KWON;GYECHOON PARK
    • Transactions of the Korean hydrogen and new energy society
    • /
    • v.35 no.3
    • /
    • pp.336-344
    • /
    • 2024
  • In this study, a Ni0.9Co0.05Mn0.05(OH)2 precursor used as an anode active material using a black powder leaching solution of a recycled lithium ion battery was prepared through coprecipitation synthesis with co-precipitation time, NH4OH concentration, pH, and stirring time as variables. The characteristics of the prepared powder were analyzed by X-ray diffraction (XRD), scanning electron microscope (SEM), particle size analysis (PSA), and inductively coupled plasma optical emission spectroscopy (ICP-OES). It was confirmed that the single crystal thickness of the LiNi1-x-yCoxMnyO2 (NCM) precursor changes depending on the NH4OH concentration and reaction pH value, and thicker single crystals are formed at 2 M NH4OH compared to 1 M and at pH 10.8-11.8 compared to pH 11.8-12.0. NCM precursor particles increased with coprecipitation time, and it was confirmed that the 72 hours NCM precursor had the largest particle size. Through ICP-OES analysis, it was confirmed that the NCM precursor was synthesized with the target composition of Ni2+:Co2+:Mn2+=90:5:5.

Etching Property of the TaN Thin Film using an Inductively Coupled Plasma (유도결합플라즈마를 이용한 TaN 박막의 식각 특성)

  • Um, Doo-Seung;Woo, Jong-Chang;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.104-104
    • /
    • 2009
  • Critical dimensions has rapidly shrunk to increase the degree of integration and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate insulator layer and the low conductivity characteristic of poly-silicon. To cover these faults, the study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$ and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-silicon gate is not compatible with high-k materials for gate-insulator. To integrate high-k gate dielectric materials in nano-scale devices, metal gate electrodes are expected to be used in the future. Currently, metal gate electrode materials like TiN, TaN, and WN are being widely studied for next-generation nano-scale devices. The TaN gate electrode for metal/high-k gate stack is compatible with high-k materials. According to this trend, the study about dry etching technology of the TaN film is needed. In this study, we investigated the etch mechanism of the TaN thin film in an inductively coupled plasma (ICP) system with $O_2/BCl_3/Ar$ gas chemistry. The etch rates and selectivities of TaN thin films were investigated in terms of the gas mixing ratio, the RF power, the DC-bias voltage, and the process pressure. The characteristics of the plasma were estimated using optical emission spectroscopy (OES). The surface reactions after etching were investigated using X-ray photoelectron spectroscopy (XPS) and auger electron spectroscopy (AES).

  • PDF