• 제목/요약/키워드: OES(Optical Emission Spectroscopy)

검색결과 209건 처리시간 0.028초

마이크로웨이브 플라즈마를 이용한 탄화공정 및 PAN fiber의 강도 향상에 관한 연구 (A Study on the Carbonization and Strengthening of PAN Fiber by Microwave Plasma)

  • 최지성;주정훈;이헌수
    • 한국표면공학회지
    • /
    • 제45권2호
    • /
    • pp.89-94
    • /
    • 2012
  • A study to replace a high temperature thermal carbonization process with microwave plasma process is carried for PAN fiber as a starting material. Near atmospheric pressure microwave plasma (1 Torr~45 Torr) was used to control to get the fiber temperature up to $1,000^{\circ}C$. Even argon is an inert gas, its plasma state include high internal energy particles; ion (15.76 eV) and metastable (11.52 eV). They are very effective to lower the necessary thermal temperature for carbonization of PAN fiber and the resultant thermal budget. The carbonization process was confirmed by both EDS (energy dispersive spectroscopy) of plasma treated fibers and OES (optical emission spectroscopy) during processing step as a real time monitoring tool. The same trend of decreasing oxygen content was observed in both diagnostic methods.

유도결합 플라즈마를 이용한 ZnO 박막의 식각 특성 (Etch characteristics of ZnO thin films using an inductively coupled plasma)

  • 우종창;김관하;김경태;김창일;김동표;이철인;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.17-18
    • /
    • 2007
  • The etching characteristics of Zinc Oxide (ZnO) and etch selectivity of ZnO to $SiO_2\;in\;BCl_3$/Ar plasma were investigated. It was found that ZnO etch rate shows a non-monotonic behavior with increasing both Ar fraction in $BCl_3$ plasma, RF power, and gas pressure. The maximum ZnO etch rate of 50.3 nm/min was obtained for $BCl_3$ (80%)/Ar(20%) gas mixture. The plasmas were characterized using optical emission spectroscopy (OES) analysis measurements while chemical state of etched surfaces was investigated with X-ray photoelectron spectroscopy (XPS). From these data the suggestions on the ZnO etch mechanism were made.

  • PDF

Ar/CF4 유도결합 플라즈마를 이용한 BET 박막의 식각 메카니즘 (Etching Mechanism Of Bi4-xEuxTiO12 (BET) Thin films Using Ar/CF4 Inductively Coupled Plasma)

  • 임규태;김경태;김동표;김창일
    • 한국전기전자재료학회논문지
    • /
    • 제16권4호
    • /
    • pp.298-303
    • /
    • 2003
  • Bi$_4$-$_{x}$EU$_{x}$Ti$_3$O$_{12}$ (BET) thin films were etched by inductively coupled CF$_4$/Ar plasma. We obtained the maximum etch rate of 78 nm/min at the gas mixing ratio of CF$_4$(10%)/Ar(90%). The variation of volume density for F and Ar atoms are measured by the optical emission spectroscopy. As CF$_4$increased in CF$_4$/Ar plasma, the emission intensities of F increase, but Ar atoms decrease, which confirms our suggestion that emission intensity is proportional to the volume density of atoms. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O and the Ti-O peaks are changed. By pure Ar plasma, intensity peak of the oxygen-metal (O-M : TiO$_2$, Bi$_2$O$_3$, Eu$_2$O$_3$) bond was seemed to disappear while the intensity of pure oxygen peak showed an opposite tendency. After the BET thin films was etched by CF$_4$/Ar plasma, the peak intensity of O-M bond increase slowly, but more quickly than that of peak belonged to pure oxygen atoms due to the decrease of Ar ion bombardment. Scanning electron microscopy was used to investigate etching Profile. The Profile of etched BET thin film was over 85$^{\circ}$./TEX>.

펨토초 및 나노초 레이저를 이용한 박막태양전지의 레이저 플라즈마 분광 분석 (Application of a LIBS technique using femtosecond and nanosecond pulses for the CIGS films analysis)

  • 이석희;최장희;;;;;정성호
    • 한국레이저가공학회지
    • /
    • 제17권4호
    • /
    • pp.7-13
    • /
    • 2014
  • In this work, the application of laser induced breakdown spectroscopy (LIBS) for the composition analysis of thin $Cu(In,Ga)Se_2$ (CIGS) solar cell films ($1-2{\mu}m$ thickness) is reported. For the ablation of CIGS films, femtosecond (fs) laser (wavelength = 343nm, pulse width = 500fs) and nanosecond (ns) laser (wavelength = 266nm, pulse width = 5ns) were used under atmospheric environment. The emission spectra were detected with an intensified charge coupled device (ICCD) spectrometer and multichannel CCD spectrometer for fs-LIBS and ns-LIBS, respectively. The calibration curves for fs-LIBS and ns-LIBS intensity ratios of Ga/Cu, In/Cu, and Ga/In were generated with respect to the concentration ratios measured by inductively coupled plasma optical emission spectrometry (ICP-OES).

  • PDF

Measurement of EUV (Extreme Ultraviolet) and electron temperature in a hypocycloidal pinch device for EUV lithography

  • Lee, Sung-Hee;Hong, Young-June;Choi, Eun-Ha
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.108-108
    • /
    • 2010
  • We have generated Ne-Xe plasma in dense plasma focus device with hypocycloidal pinch for extreme ultraviolet (EUV) lithography and investigated an electron temperature. We have applied an input voltage 4.5 kV to the capacitor bank of 1.53 uF and the diode chamber has been filled with Ne-Xe(30%) gas in accordance with pressure. If we assumed that the focused plasma regions satisfy the local thermodynamic equilibrium (LTE) conditions, the electron temperature of the hypocycloidal pinch plasma focus could be obtained by the optical emission spectroscopy (OES). The electron temperature has been measured by Boltzmann plot. The light intensity is proportion to the Bolzman factor. We have been measured the electron temperature by observation of relative Ne-Xe intensity. The EUV emission signal whose wavelength is about 6~16 nm has been detected by using a photo-detector (AXUV-100 Zr/C, IRD) and the line intensity has been detected by using a HR4000CG Composite-grating Spectrometer.

  • PDF

마그네트론 음극의 자석 배열에 따른 방전의 형상 변화 연구 (A Study of Discharge Shape Changes by Magnet Arrangements in a Magnetron Cathode)

  • 지정은;주정훈
    • 한국표면공학회지
    • /
    • 제41권3호
    • /
    • pp.94-101
    • /
    • 2008
  • A new convenient magnet array module is designed to investigate effects of magnetic field array on magnetron discharge characteristics. Magnetic field analysis showed good agreement of measured discharge region by a CCD device which has a high quantum efficiency over visible wavelength range. OES (optical emission spectroscopy) showed major emission peaks are from electronic transitions in 400 nm range and 800 nm range. Effects of driving voltage characteristics were analyzed in a point of electron drift trajectories and ionizing collision frequencies. Pulsed dc with a fast rising and falling time was analyzed to have potential to increase ionization collisions by putting a burst of hot electrons and to raise sheath potential. From measured voltage and current waveform, maximum of -1000 V peak was generated with $-400\;V_{rms}$ conditions. Possibility of a properly designed magnetron cathode was shown to be used as a melting device. Cu was successfully melted with power density of a several tens of $W/cm^2$.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

$BCl_3/Cl_2/Ar$ 고밀도 플라즈마에 의한 $(Ba, Sr)TiO_3$ 박막의 식각 메커니즘 연구 (A Study on the Etching Mechanism of $(Ba, Sr)TiO_3$ thin Film by High Density $BCl_3/Cl_2/Ar$ Plasma)

  • 김승범;김창일
    • 대한전자공학회논문지SD
    • /
    • 제37권11호
    • /
    • pp.18-24
    • /
    • 2000
  • (Ba,Sr)$TiO_3$ 박막은 ULSI-DRAM 즉 1-4 Gbit급 DRAM용 셀(cell) 커패시터의 새로운 유전물질로 각광받고 있다. 본 연구에서는 ICP 장비에서 $BCl_3/Cl_2/Ar$ 플라즈마로 (Ba,Sr)$TiO_3$ 박막을 식각하였다. 이때 RF power/dc bias voltage는 600W/-250V, 반응로의 압력은 10mTorr 이었다. $Cl_2/(Cl_2+Ar)$은 0.2로 고정하였고, $BCl_3$ 가스를 첨가하면서 (Ba,Sr)$TiO_3$ 박막을 식각하였다. $BCl_3$ 가스를 10% 첨가하였을 때, $480{\AA}/min$으로 (Ba,Sr)$TiO_3$ 박막은 가장 높은 식각 속도를 나타내었다. $Cl_2/Ar$가스에 $BCl_3$의 첨가 비에 따른 Cl, BCl 및 B의 라디칼 밀도를 optical emission spectroscopy(OES)에 의해 구하였다. $BCl_3$를 10% 첨가하였을 때 Cl의 라디칼 밀도가 가장 높았다. (Ba,Sr)$TiO_3$ 박막의 표면반응을 규명하기 위하여 XPS 분석을 수행한 결과 이온 bombardment 식각이 Ba-O 결합을 파괴하고 Ba와 Cl의 결합형태인 $BaCl_2$을 제거하기 위하여 필요하다. Sr과 Cl의 결합의 양은 많지 않고, Sr은 주로 물리적인 스퍼터링에 의하여 제거된다. Ti와 Cl은 화학적으로 반응하여 $TiCl_4$ 결합형태로 용이하게 제거된다. 식각후 단면사진을 SEM을 통해 본 결과 식각단면이 약 65~70$^{\circ}$ 정도였다.

  • PDF

Measurement of electron temperature and density using Stark broadening of the coaxial focused plasma for extreme ultraviolet (EUV) lithography

  • Lee, Sung-Hee;Hong, Young-June;Choi, Eun-Ha
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.475-475
    • /
    • 2010
  • We have generated Ar plasma in dense plasma focus device with coaxial electrodes for extreme ultraviolet (EUV) lithography and investigated an emitted visible light for electro-optical plasma diagnostics. We have applied an input voltage 4.5 kV to the capacitor bank of 1.53 uF and the diode chamber has been filled with Ar gas of pressure 8 mTorr. The inner surface of the cylindrical cathode has been attatched by an acetal insulator. Also, the anode made of tin metal. If we assumed that the focused plasma regions satisfy the local thermodynamic equilibrium (LTE) conditions, the electron temperature and density of the coaxial plasma focus could be obtained by Stark broadening of optical emission spectroscopy (OES). The Lorentzian profile for emission lines of Ar I of 426.629 nm and Ar II of 487.99 nm were measured with a visible monochromator. And the electron density has been estimated by FWHM (Full Width Half Maximum) of its profile. To find the exact value of FWHM, we observed the instrument line broadening of the monochromator with a Hg-Ar reference lamp. The electron temperature has been calculated using the two relative electron density ratios of the Stark profiles. In case of electron density, it has been observed by the Stark broadening method. This experiment result shows the temporal behavior of the electron temperature and density characteristics for the focused plasma. The EUV emission signal whose wavelength is about 6 ~ 16 nm has been detected by using a photo-detector (AXUV-100 Zr/C, IRD). The result compared the electron temperature and density with the temporal EUV signal. The electron density and temperature were observed to be $10^{16}\;cm^{-3}$ and 20 ~ 30 eV, respectively.

  • PDF

Critical dimension uniformity improvement by adjusting etch selectivity in Cr photomask fabrication

  • 오창훈;강민욱;한재원
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.213-213
    • /
    • 2016
  • 현재 반도체 산업에서는 디바이스의 고 집적화, 고 수율을 목적으로 패턴의 미세화 및 웨이퍼의 대면적화와 같은 이슈가 크게 부각되고 있다. 다중 패터닝(multiple patterning) 기술을 통하여 고 집적 패턴을 구현이 가능해졌으며, 이와 같은 상황에서 각 패턴의 임계치수(critical dimension) 변화는 패턴의 위치 및 품질에 큰 영향을 끼치기 때문에 포토마스크의 임계치수 균일도(critical dimension uniformity, CDU)가 제작 공정에서 주요 파라미터로 인식되고 있다. 반도체 광 리소그래피 공정에서 크롬(Cr) 박막은 사용되는 포토 마스크의 재료로 널리 사용되고 있으며, 이러한 포토마스크는 fused silica, chrome, PR의 박막 층으로 이루어져 있다. 포토마스크의 패턴은 플라즈마 식각 장비를 이용하여 형성하게 되므로, 식각 공정의 플라즈마 균일도를 계측하고 관리 하는 것은 공정 결과물 관리에 필수적이며 전체 반도체 공정 수율에도 큰 영향을 미친다. 흔히, 포토마스크 임계치수는 플라즈마 공정에서의 라디칼 농도 및 식각 선택비에 의해 크게 영향을 받는 것으로 알려져 왔다. 본 연구에서는 Cr 포토마스크 에칭 공정에서의 Cl2/O2 공정 플라즈마에 대해 O2 가스 주입량에 따른 식각 선택비(etch selectivity) 변화를 계측하여 선택비 제어를 통한 Cr 포토마스크 임계치수 균일도 향상을 실험적으로 입증하였다. 연구에서 사용한 플라즈마 계측 방법인 발광분광법(OES)과 optical actinometry의 적합성을 확인하기 위해서 Cl2 가스 주입량에 따른 actinometer 기체(Ar)에 대한 atomic Cl 농도비를 계측하였고, actinometry 이론에 근거하여 linear regression error 1.9%을 보였다. 다음으로, O2 가스 주입비에 따른 Cr 및 PR의 식각률(etch rate)을 계측함으로써 식각 선택비(etch selectivity)의 변화율이 적은 O2 가스 농도 범위(8-14%)를 확인하였고, 이 구간에서 임계치수 균일도가 가장 좋을 것으로 예상할 수 있었다. (그림 1) 또한, spatially resolvable optical emission spectrometer(SROES)를 사용하여 플라즈마 챔버 내부의 O atom 및 Cl radical의 공간 농도 분포를 확인하였다. 포토마스크의 임계치수 균일도(CDU)는 챔버 내부의 식각 선택비의 변화율에 강하게 영향을 받을 것으로 예상하였고, 이를 입증하기 위해 각각 다른 O2 농도 환경에서 포토마스크 임계치수 값을 확인하였다. (표1) O2 11%에서 측정된 임계치수 균일도는 1.3nm, 그 외의 O2 가스 주입량에 대해서는 임계치수 균일도 ~1.7nm의 범위를 보이며, 이는 25% 임계치수 균일도 향상을 의미함을 보인다.

  • PDF