• Title/Summary/Keyword: N deposition

Search Result 2,148, Processing Time 0.032 seconds

Foramtion and Characterization of SiO$_2$ films made by Remote Plasma Enhanced Chemical vapour Deposition (Remote PECVD (RPECVD) SiO$_2$ 막의 형성 및 특성)

  • 유병곤;구진근;임창완;김광호
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1994.11a
    • /
    • pp.171-174
    • /
    • 1994
  • The drive towards ultra-large-scale integrated circuits a continuous intermetal dielectric films for multi layer interconection. Optimum condition of remote plasma enhanced chemical vapour deposition(RPECVD) was achieved by orthogonal array method. Chracteristics of SiO$_2$ films deposited by using remote PECVD with N$_2$O gas were investigated. Etching rate of SiO$_2$ films in P-echant was about 6[A/s] that was the same as the thermal oxide. The films a showed high breakdown voltage of 7(MV/cm) and a resistivity of Bx10$\^$13/[$\Omega$cm] at 7(MV/cm). The interface Trap density of SiO$_2$ has been shown excel lent properties of 5x10$\^$10/[/$\textrm{cm}^2$eV]. It was observed that the dielectric constant dropped to a value of 4. 29 for 150 [W] RF power.

Field emission from hydrogen-free DLC

  • Suk Jae chung;Han, Eun-Jung;Lim, Sung-Hoon;Jin Jang
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.3 no.1
    • /
    • pp.49-53
    • /
    • 1999
  • We have studied the field emission characteristics of diamond-like-carbon (DLC) films deposited by a layer-by-layer technique using plasma enhanced chemical vapor deposition, in which the deposition of a thin layer of DLC and a CH4 plasma exposure on its surface were carried out alternatively. The hydrogen-free DLC can be deposited by CH4 plasma exposure for 140 sec on a 5 nm DLC layer. N2 gas-phase doping in the CH4 plasma was also carried out to reduce the work function of the DLC. The optimum [N2]/[CH4] flow rate ratio was found to be 9% for the efficient electron emission, at which the onset-field was 7.2 V/$\mu\textrm{m}$. It was found that the hydrogen-free DLC has a stable electron emitting property.

  • PDF

SPUTTER-DEPOSITION OF CARBON NITRIDE FILMS WITH HIGH NITROGEN CONCENTRATION

  • Taki, Yusuke;Takai, Osamu
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.5
    • /
    • pp.498-504
    • /
    • 1996
  • The synthesis of carbon nitride thin films with high nitrgen concentration was accomplished by reactive supttering at relatively high working pressure. In conventional reactive sputter-deposition of carbon nitride films, working pressure was 0.3-5Pa and the ratio of nitrogen to carbon(N/C ratio) in the films was less than 0.5. In this study, amorphous carbon nitride films with the N/C ratio $\tickapprox$ 1.0 were prepared on Si(100). substrates at higher pressure, 20-60 Pa. Structural analyses with Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy revealed that the films prepared consisted of triazine-like plain network.

  • PDF

HCCR breeding blankets optimization by changing neutronic constrictions

  • Zadfathollah Seighalani, R.;Sedaghatizade, M.;Sadeghi, H.
    • Nuclear Engineering and Technology
    • /
    • v.53 no.8
    • /
    • pp.2564-2569
    • /
    • 2021
  • The neutronic analysis of Helium Cooled Ceramic Reflector (HCCR) breeding blankets has been performed using the 3D Monte Carlo code MCNPX and ENDF nuclear data library. This study aims to reduce 6Li percentage in the breeder zones as much as possible ensuring tritium self-sufficiency. This work is devoted to investigating the effect of 6Li percentage on the HCCR breeding blanket's neutronic parameters, such as neutron flux and spectrum, Tritium Breeding Ratio (TBR), nuclear power density, and energy multiplication factor. In the ceramic breeders at the saturated thickness, increasing the enrichment of 6Li reduces its share in the tritium production. Therefore, ceramic breeders typically use lower enriched Li from 30% to 60%. The investigation of neutronic analysis in the suggested geometry shows that using 60% 6Li in Li2TiO3 can yield acceptable TBR and energy deposition results, which would be economically feasible.

A Study of Physical and Optical Properties of GaN grown using In-situ SiN Mask by MOCVD (In-situ SiN Mask를 이용하여 성장한 GaN 박막의 물성적, 광학적 특성 연구)

  • Kim, Deok-Kyu;Jeong, Jong-Yub;Park, Choon-Bae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.121-124
    • /
    • 2004
  • We have grown GaN layers with in-situ SiN mask by metal organic chemical vapor deposition(MOCVD) and study the physical properties of the GaN layer. We have also investigate the effect of the SiN mask on its optical property. By inserting a SiN mask, (102) the full width at half maximum(FWHM) decreased from 480 arcsec to 409 arcsec. The PL intensity of GaN with SiN mask improved 2 times to that without SiN mask. We have thus shown that the SiN mask improved significantly the physical and optical properties of the GgN layer.

  • PDF

Effect of Deposition Parameters on the Property of SiC Layer in TRISO-Coated Particles (TRISO 피복 입자에서 증착 조건이 탄화규소층의 특성에 미치는 영향)

  • Park, J.H.;Kim, W.J.;Park, J.N.;Park, K.H.;Park, J.Y.;Lee, Y.W.
    • Korean Journal of Materials Research
    • /
    • v.17 no.3
    • /
    • pp.160-166
    • /
    • 2007
  • TRISO coatings on $ZrO_{2}$ surrogate kernels were conducted by a fluidized-bed chemical vapor deposition (FBCVD) method. Effects of the deposition temperature and the gas flow rate on the properties of SiC layer were investigated in the TRISO-coated particles. Deposition rate of the SiC layer decreased as the deposition temperature increased in the temperature range of $1460^{\circ}-1550^{\circ}C$. At the deposition temperature of $1550^{\circ}C$ the SiC layer contained an excess carbon, whereas the SiC layers had stoichiometric compositions at $1460^{\circ}C\;and\;1500^{\circ}C$. Hardness and elastic modulus measured by a nanoindentation method were the highest in the SiC layer deposited at $1500^{\circ}C$. The SiC layer deposited at the gas flow rate of 4000 sccm exhibited a high porosity and contained large pores more than $1{\mu}m$, being due to a violent spouting of particles. On the other hand, the SiC layer deposited at 2500 sccm revealed the lowest porosity.

The characteristics of silicon nitride thin films prepared by atomic layer deposition with batch type reactor (Batch-Type 원자층 증착 방법으로 형성한 실리콘 질화막의 특성)

  • Kim, Hyuk;Lee, Ju-Hyun;Han, Chang-Hee;Kim, Woon-Joong;Lee, Yeon-Seung;Lee, Won-Jun;Na, Sa-Kyun
    • Journal of the Korean Vacuum Society
    • /
    • v.12 no.4
    • /
    • pp.263-268
    • /
    • 2003
  • Precise thickness control and excellent properties of silicon nitride thin films are essential for the next-generation semiconductor and display devices. In this study, silicon nitride thin films were deposited by batch-type atomic layer deposition (ALD) method using $SiC1_4$ and $NH_3$ as the precursors at temperatures ranging from 500 to $600^{\circ}C$. Thin film deposition using a batch-type ALD reactor was a layer-by-layer atomic growth by self-limiting surface reactions, and the thickness of the deposited film can be controlled by the number of deposition cycles. The silicon nitride thin films deposited by ALD method exhibited composition, refractive index and wet etch rate similar with those of the thin films deposited by low-pressure chemical vapor deposition method at $760^{\circ}C$. The addition of pyridine mixed with precursors increased deposition rate by 50%, however, the films deposited with pyridine was readily oxidized owing to its unstable structure, which is unsuitable for the application to semiconductor or display devices.

Heat Treatment of Carbonized Photoresist Mask with Ammonia for Epitaxial Lateral Overgrowth of a-plane GaN on R-plane Sapphire

  • Kim, Dae-sik;Kwon, Jun-hyuck;Jhin, Junggeun;Byun, Dongjin
    • Korean Journal of Materials Research
    • /
    • v.28 no.4
    • /
    • pp.208-213
    • /
    • 2018
  • Epitaxial ($11{\bar{2}}0$) a-plane GaN films were grown on a ($1{\bar{1}}02$) R-plane sapphire substrate with photoresist (PR) masks using metal organic chemical vapor deposition (MOCVD). The PR mask with striped patterns was prepared using an ex-situ lithography process, whereas carbonization and heat treatment of the PR mask were carried out using an in-situ MOCVD. The heat treatment of the PR mask was continuously conducted in ambient $H_2/NH_3$ mixture gas at $1140^{\circ}C$ after carbonization by the pyrolysis in ambient $H_2$ at $1100^{\circ}C$. As the time of the heat treatment progressed, the striped patterns of the carbonized PR mask shrank. The heat treatment of the carbonized PR mask facilitated epitaxial lateral overgrowth (ELO) of a-plane GaN films without carbon contamination on the R-plane sapphire substrate. Thhe surface morphology of a-plane GaN films was investigated by scanning electron microscopy and atomic force microscopy. The structural characteristics of a-plane GaN films on an R-plane sapphire substrate were evaluated by ${\omega}-2{\theta}$ high-resolution X-ray diffraction. The a-plane GaN films were characterized by X-ray photoelectron spectroscopy (XPS) to determine carbon contamination from carbonized PR masks in the GaN film bulk. After $Ar^+$ ion etching, XPS spectra indicated that carbon contamination exists only in the surface region. Finally, the heat treatment of carbonized PR masks was used to grow high-quality a-plane GaN films without carbon contamination. This approach showed the promising potential of the ELO process by using a PR mask.

Hard TiN Coating by Magnetron-ICP P $I^3$D

  • Nikiforov, S.A.;Kim, G.H.;Rim, G.H.;Urm, K.W.;Lee, S.H.
    • Journal of the Korean institute of surface engineering
    • /
    • v.34 no.5
    • /
    • pp.414-420
    • /
    • 2001
  • A 30-kV plasma immersion ion implantation setup (P $I^3$) has been equipped with a self-developed 6'-magnetron to perform hard coatings with enhanced adhesion by P $I^3$D(P $I^3$ assisted deposition) process. Using ICP source with immersed Ti antenna and reactive magnetron sputtering of Ti target in $N_2$/Ar ambient gas mixture, the TiN films were prepared on Si substrates at different pulse bias and ion-to-atom arrival ratio ( $J_{i}$ $J_{Me}$ ). Prior to TiN film formation the nitrogen implantation was performed followed by deposition of Ti buffer layer under A $r^{+}$ irradiation. Films grown at $J_{i}$ $J_{Me}$ =0.003 and $V_{pulse}$=-20kV showed columnar grain morphology and (200) preferred orientation while those prepared at $J_{i}$ $J_{Me}$ =0.08 and $V_{pulse}$=-5 kV had dense and eqiaxed structure with (111) and (220) main peaks. X-ray diffraction patterns revealed some amount of $Ti_{x}$ $N_{y}$ in the films. The maximum microhardness of $H_{v}$ =35 GN/ $M^2$ was at the pulse bias of -5 kV. The P $I^3$D technique was applied to enhance wear properties of commercial tools of HSS (SKH51) and WC-Co alloy (P30). The specimens were 25-kV PII nitrogen implanted to the dose 4.10$^{17}$ c $m^{-2}$ and then coated with 4-$\mu\textrm{m}$ TiN film on $Ti_{x}$ $N_{y}$ buffer layer. Wear resistance was compared by measuring weight loss under sliding test (6-mm $Al_2$ $O_3$ counter ball, 500-gf applied load). After 30000 cycles at 500 rpm the untreated P30 specimen lost 3.10$^{-4}$ g, and HSS specimens lost 9.10$^{-4}$ g after 40000 cycles while quite zero losses were demonstrated by TiN coated specimens.s.

  • PDF