• Title/Summary/Keyword: Microarchitecture

Search Result 48, Processing Time 0.024 seconds

Design of a G-Share Branch Predictor for EISC Processor

  • Kim, InSik;Jun, JaeYung;Na, Yeoul;Kim, Seon Wook
    • IEIE Transactions on Smart Processing and Computing
    • /
    • v.4 no.5
    • /
    • pp.366-370
    • /
    • 2015
  • This paper proposes a method for improving a branch predictor for the extendable instruction set computer (EISC) processor. The original EISC branch predictor has several shortcomings: a small branch target buffer, absence of a global history, a one-bit local branch history, and unsupported prediction of branches following LERI, which is a special instruction to extend an immediate value. We adopt a G-share branch predictor and eliminate the existing shortcomings. We verified the new branch predictor on a field-programmable gate array with the Dhrystone benchmark. The newly proposed EISC branch predictor also accomplishes higher branch prediction accuracy than a conventional branch predictor.

A design of structured microassembler for microprogramming (마이크로프로그래밍을 위한 구조적 마이크로어셈블러 설계)

  • 신봉희;김성종;이준모;신인철
    • Journal of the Korean Institute of Telematics and Electronics B
    • /
    • v.32B no.1
    • /
    • pp.21-29
    • /
    • 1995
  • In this paper, a independent and structured microassembler was designed for easily changing the system design, and for designing various microarchitecture. When the designer's hardware and microprogramming process were made concurrently, it is needed to easily change or improve the instruction set and executable code format. But this type of developed environment requires a high const and a large software system. A proposed microassembler was designed so the designer directly defines the microinstruction set and format to be executed. And we implemented a module from each part of the software, so it is now possible to use practically and upgrade the function of each part, First, the symbol was separated from the assembler. And then microinstruction was copied into it. The microinstruction format was designed using the defined language that was designed for free microinstruction. This was implemented in an IBM-PC by using the C-language, FLEX,and BISON.

  • PDF

Exploring Branch Target Buffer Architecture on Intel Processors with Performance Monitor Counter (Performance Monitor Counter를 이용한 Intel Processor의 Branch Target Buffer 구조 탐구)

  • Jeong, Juhye;Kim, Han-Yee;Suh, Taeweon
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2019.10a
    • /
    • pp.24-27
    • /
    • 2019
  • Meltdown, Spectre 등 하드웨어의 취약점을 이용하는 side-channel 공격이 주목을 받으면서 주요 microarchitecture 구조에 대한 철저한 이해의 필요성이 커지고 있다. 현대 마이크로프로세서에서 branch prediction이 갖는 중요성에도 불구하고 세부적인 사항은 거의 알려지지 않았으며 잠재적 공격에 대비하기 위해서는 반드시 현재 드러난 정보 이상의 detail을 탐구하기 위한 시도가 필요하다. 본 연구에서는 Performance Monitor Counter를 이용해 branch 명령어를 포함한 프로그램이 실행되는 동안 Branch Prediction Unit에 의한 misprediction 이벤트가 발생하는 횟수를 체크하여 인텔 하스웰, 스카이레이크에서 사용되는 branch target buffer의 구조를 파악하기 위한 실험을 수행하였다. 연구를 통해 해당 프로세서의 BTB의 size, number of way를 추정할 수 있었다.

An Overview of Laser-assisted Bioprinting (LAB) in Tissue Engineering Applications

  • Ventura, Reiza Dolendo
    • Medical Lasers
    • /
    • v.10 no.2
    • /
    • pp.76-81
    • /
    • 2021
  • Biological tissues and organs are composed of different arrays of cells, biochemical cues, and extracellular matrices arranged in a complex microarchitecture. Laser-Assisted Bioprinting (LAB) is an emerging and promising technology that is reproducible with high accuracy that can be used for fabricating complex bioengineered scaffolds that mimic tissues and organs. The LAB process allows researchers to print intricate structural scaffolds using cells and different biomaterials essential for facilitating cell-scaffold interaction and to induce tissue and organ regeneration which cannot be achieved in a traditional scaffold fabrication. This process can fabricate artificial cell niches or architecture without affecting cellular viability and material integrity. This review tackles the basic principles and key aspects of Laser-Assisted Bioprinting. Recent advances, limitations, and future perspectives are also discussed.

Effect of sodium butyrate on performance, immune status, microarchitecture of small intestinal mucosa and lymphoid organs in broiler chickens

  • Sikandar, Arbab;Zaneb, Hafsa;Younus, Muhammad;Masood, Saima;Aslam, Asim;Khattak, Farina;Ashraf, Saima;Yousaf, Muhammad Shahbaz;Rehman, Habib
    • Asian-Australasian Journal of Animal Sciences
    • /
    • v.30 no.5
    • /
    • pp.690-699
    • /
    • 2017
  • Objective: This study aimed to examine the effect of sodium butyrate (SB) on growth performance, immune status, organs weights, and microarchitecture of lymphoid organs and small intestine. Methods: A total of 120, 1-d-old broiler chicks were distributed into the following four treatment groups: corn-soy based basal diet (BD) without supplement (control), or the same BD supplemented with 0.1 g/kg zinc bacitracin (ZnB), 0.5 g/kg SB (SB-0.5), or 1.0 g/kg SB (SB-1), respectively. Six birds/group were killed on d-21 and d-35, and samples were collected. Results: Cell-mediated immune response at 48 h post-Phytohemagglutinin-P injection, and antibody titer against Newcastle disease vaccine and sheep red blood cells on d-35 was noted higher (p<0.05) in SB-1 compared to ZnB and control. Lower (p<0.05) feed conversion ratio (FCR) was attained by the supplemented groups. Thymus and spleen weighed more (p<0.05) in SB-1, and bursa registered more (p<0.05) weight in both SB groups compared to control. On d-21, areas of thymus medulla and spleen germinal centers were noted higher (p<0.05) in SB-1 group. The villus height and villus surface area increased (p<0.05) in duodenum and jejunum in both SB groups on d-21, and in SB-1 on d-35, respectively compared to ZnB and control. On d-21, number of goblet cells containing mucins of acidic nature increased (p<0.05) in all the segments of small intestines in SB-1 group compared to control, and on d-35 in ileum compared to other groups. Conclusion: In conclusion, SB improved growth performance and immunity as well as modulated morphology of lymphoid organs and gut mucosa in broiler chickens.

Architectural Design Issues in a Clockless 32-Bit Processor Using an Asynchronous HDL

  • Oh, Myeong-Hoon;Kim, Young Woo;Kwak, Sanghoon;Shin, Chi-Hoon;Kim, Sung-Nam
    • ETRI Journal
    • /
    • v.35 no.3
    • /
    • pp.480-490
    • /
    • 2013
  • As technology evolves into the deep submicron level, synchronous circuit designs based on a single global clock have incurred problems in such areas as timing closure and power consumption. An asynchronous circuit design methodology is one of the strong candidates to solve such problems. To verify the feasibility and efficiency of a large-scale asynchronous circuit, we design a fully clockless 32-bit processor. We model the processor using an asynchronous HDL and synthesize it using a tool specialized for asynchronous circuits with a top-down design approach. In this paper, two microarchitectures, basic and enhanced, are explored. The results from a pre-layout simulation utilizing 0.13-${\mu}m$ CMOS technology show that the performance and power consumption of the enhanced microarchitecture are respectively improved by 109% and 30% with respect to the basic architecture. Furthermore, the measured power efficiency is about 238 ${\mu}W$/MHz and is comparable to that of a synchronous counterpart.

Roles of growth factors, calcitonic polypeptides and neuropeptides in bone metabolism, osteoporosis and rheumatis arthritis

  • Lee, Tae-Kyun;Kim, June-ki;Kim, Kap-Sung;Chang, Jun-Hyuk;Jeong, Ji-cheon;Nam, Kyung-Soo;Kim, Cheorl-Ho
    • The Journal of Dong Guk Oriental Medicine
    • /
    • v.9
    • /
    • pp.1-23
    • /
    • 2000
  • Osteoporosis is a common disorder characterized by reduced bone mineral density, deterioration of the microarchitecture of bone tissue and increased risk of fracture. The aim of treatment of osteoporosis is to maintain and, ideally, to restore bone strength safely. In recent years the role of polypeptide growth factors in bone metabolism has begun to appear. It has been proposed that alterations in the expression or production of growth factor can modulate the proliferation and activity of bone forming cells. Thus, the role of structurally diverse peptides for the management and diagnosis of osteoporosis has attracted the attention of many investigators. This paper reviews numerous findings concerning the use of polypeptides, hormones, and growth factors, for the management of osteoporosis. Many of the compounds mentioned here are experimental prototypes of new therapeutic classes. Though it is unlikely that some of the compounds may ever be used clinically, development of safe and efficacious agents in each class will define the future course of therapy for osteoporosis.

  • PDF

Impact of radiotherapy on mandibular bone: A retrospective study of digital panoramic radiographs

  • Palma, Luiz Felipe;Tateno, Ricardo Yudi;Remondes, Cintia Maria;Marcucci, Marcelo;Cortes, Arthur Rodriguez Gonzalez
    • Imaging Science in Dentistry
    • /
    • v.50 no.1
    • /
    • pp.31-36
    • /
    • 2020
  • Purpose: The purpose of this study was to investigate the impact of radiotherapy on mandibular bone tissue in head and neck cancer patients through an analysis of pixel intensity and fractal dimension values on digital panoramic radiographs. Materials and Methods: Thirty patients with radiographic records from before and after 3-dimensional (3D) conformational radiotherapy were selected. A single examiner carried out digital analyses of pixel intensity values and fractal dimensions, with the areas of interest unilaterally located in the right angle medullary region of the mandible below the mandibular canal and posterior to the molar region. Results: Statistically significant decreases were observed in the mean pixel intensity (P=0.0368) and fractal dimension (P=0.0495) values after radiotherapy. Conclusion: The results suggest that 3D conformational radiotherapy for head and neck cancer negatively affected the trabecular microarchitecture and mandibular bone mass.

Association Between Physical Activity and Quantitative Ultrasound in a Rural Population (신체활동과 골초음파지표와의 연관성)

  • Kim, Seung-Joon;Shin, Min-Ho;Kweon, Sun-Seog
    • Physical Therapy Korea
    • /
    • v.12 no.2
    • /
    • pp.20-27
    • /
    • 2005
  • Osteoporosis is characterized by low bone mass and the microarchitectural deterioration of bone tissue with a consequent increase in bone fragility and susceptibility to fracture. It has been suggested that speed of sound (SOS) and broadband ultrasound attenuation (BUA) of quantitative ultrasound sonography (QUS) may provide information about not only bone density but also the microarchitecture and elastic properties of bone. Physical inactivity reduced mechanical usage and it made process to the bone changes. This study aimed to association between the physical activity and the QUS parameters in 1305 (593 men, 712 women) aged 20 years over in a rural population. Two QUS parameters, BUA (p=.23) and SOS(p=.73) were measured at the right calcaneus of postmenopausal women, no significant associations were observed between sports index and SOS and BUA. These results suggest that work, non-sports leisure physical activity (p<.01) have a significant influence on QUS parameters in a rural population. Physical activity are meaningful predictor of QUS parameters of the calcaneus in a rural population.

  • PDF

80μW/MHz 0.68V Ultra Low-Power Variation-Tolerant Superscalar Dual-Core Application Processor

  • Kwon, Youngsu;Lee, Jae-Jin;Shin, Kyoung-Seon;Han, Jin-Ho;Byun, Kyung-Jin;Eum, Nak-Woong
    • IEIE Transactions on Smart Processing and Computing
    • /
    • v.4 no.2
    • /
    • pp.71-77
    • /
    • 2015
  • Upcoming ground-breaking applications for always-on tiny interconnected devices steadily demand two-fold features of processor cores: aggressively low power consumption and enhanced performance. We propose implementation of a novel superscalar low-power processor core with a low supply voltage. The core implements intra-core low-power microarchitecture with minimal performance degradation in instruction fetch, branch prediction, scheduling, and execution units. The inter-core lockstep not only detects malfunctions during low-voltage operation but also carries out software-based recovery. The chip incorporates a pair of cores, high-speed memory, and peripheral interfaces to be implemented with a 65nm node. The processor core consumes only 24mW at 350MHz and 0.68V, resulting in power efficiency of $80{\mu}W/MHz$. The operating frequency of the core reaches 850MHz at 1.2V.