• Title/Summary/Keyword: Line Mask

Search Result 168, Processing Time 0.03 seconds

Fabrication of a shadow mask for OTFT circuit (유기 박막 트랜지스터 회로를 위한 섀도 마스크의 제작)

  • Yi S.M.;Park M.S.;Lee Y.S.;Lee H.S.;Chu C.N.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.1277-1280
    • /
    • 2005
  • A high-aspect-ratio and high-resolution stainless steel shadow mask for organic thin-film transistors (OTFTs) circuit has been fabricated by a new method which combines photochemical machining, micro-electrical discharge machining (micro-EDM), and electrochemical etching (ECE). First, connection lines and source-drain holes are roughly machined by photochemical etching, and then the part of source and drain holes is finished by the combination of micro-EDM and ECE processes. Using this method a $100\;\mu{m}$ thick stainless steel (AISI 304) shadow mask for inverter can be fabricated with the channel length of $30\;\mu{m}\;and\;10\;\mu{m}\;respectively.\;The\;width\;of\;connection line\;is\;150\;\mu{m}$. The aspect ratio of the wall is about 5 and 15, respectively. Metal lines and source-drain electrodes of OTFTs were successfully deposited through the fabricated shadow mask.

  • PDF

The fabrication of TFTs for LCD using the 3mask process

  • Yoo, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07b
    • /
    • pp.948-951
    • /
    • 2005
  • New technology that reduces photolithography process steps from 4 to 3 in fabrication of TFT LCD is introduced. The core technology for 3mask-TFTs is the lift-off process [1], by which the PAS and PXL layer are formed simultaneously. To evaluate the stability of this lift-off process, outgases from photo resist on a substrate during ITO deposition and the quality of ITO film were analyzed and the conventional photo resist stripper machine which operates lift-off process was examined to see its ability to reduce particle problems of the machine. Through the development of total process and design for TFTs using this 3mask technology, panels in TN and IPS modes which exhibit same performances of a display using a conventional process were achieved. In addition, this process was already verified in the mass production line and now some products are being produced by the 3mask technology.

  • PDF

Impact of aperture-thickness on the real-time imaging characteristics of coded-aperture gamma cameras

  • Park, Seoryeong;Boo, Jiwhan;Hammig, Mark;Jeong, Manhee
    • Nuclear Engineering and Technology
    • /
    • v.53 no.4
    • /
    • pp.1266-1276
    • /
    • 2021
  • The mask parameters of a coded aperture are critical design features when optimizing the performance of a gamma-ray camera. In this paper, experiments and Monte Carlo simulations were performed to derive the minimum detectable activity (MDA) when one seeks a real-time imaging capability. First, the impact of the thickness of the modified uniformly redundant array (MURA) mask on the image quality is quantified, and the imaging of point, line, and surface radiation sources is demonstrated using both cross-correlation (CC) and maximum likelihood expectation maximization (MLEM) methods. Second, the minimum detectable activity is also derived for real-time imaging by altering the factors used in the image quality assessment, consisting of the peak-to-noise ratio (PSNR), the normalized mean square error (NMSE), the spatial resolution (full width at half maximum; FWHM), and the structural similarity (SSIM), all evaluated as a function of energy and mask thickness. Sufficiently sharp images were reconstructed when the mask thickness was approximately 2 cm for a source energy between 30 keV and 1.5 MeV and the minimum detectable activity for real-time imaging was 23.7 MBq at 1 m distance for a 1 s collection time.

Possibility of Spreading Infectious Diseases by Droplets Generated from Semiconductor Fabrication Process (반도체 FAB의 비말에 의한 감염병 전파 가능성 연구)

  • Oh, Kun-Hwan;Kim, Ki-Youn
    • Journal of Korean Society of Occupational and Environmental Hygiene
    • /
    • v.32 no.2
    • /
    • pp.111-115
    • /
    • 2022
  • Objectives: The purpose of this study is to verify whether droplet-induced propagation, the main route of infectious diseases such as COVID-19, can occur in semiconductor FAB (Fabrication), based on research results on general droplet propagation. Methods: Through data surveys droplet propagation was modeled through simulation and experimental case analysis according to general (without mask) and mask-wearing conditions, and the risk of droplet propagation was inferred by reflecting semiconductor FAB operation conditions (air current, air conditioning system, humidity, filter conditions). Results: Based on the results investigated to predict the possibility of spreading infectious diseases in semiconductor FAB, the total amount of droplet propagation (concentration), propagation distance, and virus life in FAB were inferred by reflecting the management parameter of semiconductor FAB. Conclusions: The total amount(concentration) of droplet propagation in the semiconductor fab is most affected by the presence or absence of wearing a mask and the line air dilution rate has some influence. when worn it spreads within 0.35~1m, and since the humidity is constant the virus can survive in the air for up to 3 hours. as a result the semiconductor fab is judged to be and effective space to block virus propagation due to the special environmental condition of a clean room.

Respiratory Protection for LASER Users

  • Lee, Sang Joon;Chung, Phil-Sang;Chung, Sang Yong;Woo, Seung Hoon
    • Medical Lasers
    • /
    • v.8 no.2
    • /
    • pp.43-49
    • /
    • 2019
  • The plume produced by vaporizing tissue with a laser contains a variety of contaminants called laser-generated air pollutants (LGACs). LGACs consist of a mixture of toxic gas components, biomicroparticles, dead and living cells, and viruses. Toxic odors and thick smoke from surgical incisions and the coagulation of tissues can irritate eyes and airways, as well as cause bronchial and pulmonary congestion. Because of the potential risk of the smoke, it is advisable to appropriately remove it from the surgical site. We recommend using a smoke evacuator to remove the smoke. Suction nozzles should be placed as close as possible to the surgical site in a range of 2 cm or less. In-line filters should be used between the inlet and outlet of the surgical site. All air filtration devices should be capable of removing particles below 0.1 microns in size. The filter pack should be handled according to infection control procedures in the operating room. The laser mask can be an auxiliary protective device if it is properly worn. Some smoke inhaled under the nose wrap or over the side of the mask will not be filtered. As in electrosurgical operations, a suitable mask should be worn while smoke is present.

Deep learning based face mask recognition for access control (출입 통제에 활용 가능한 딥러닝 기반 마스크 착용 판별)

  • Lee, Seung Ho
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.21 no.8
    • /
    • pp.395-400
    • /
    • 2020
  • Coronavirus disease 2019 (COVID-19) was identified in December 2019 in China and has spread globally, resulting in an ongoing pandemic. Because COVID-19 is spread mainly from person to person, every person is required to wear a facemask in public. On the other hand, many people are still not wearing facemasks despite official advice. This paper proposes a method to predict whether a human subject is wearing a facemask or not. In the proposed method, two eye regions are detected, and the mask region (i.e., face regions below two eyes) is predicted and extracted based on the two eye locations. For more accurate extraction of the mask region, the facial region was aligned by rotating it such that the line connecting the two eye centers was horizontal. The mask region extracted from the aligned face was fed into a convolutional neural network (CNN), producing the classification result (with or without a mask). The experimental result on 186 test images showed that the proposed method achieves a very high accuracy of 98.4%.

Fabrication of TFTs for LCD using 3-Mask Process

  • You, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • Journal of Information Display
    • /
    • v.6 no.3
    • /
    • pp.18-21
    • /
    • 2005
  • A new technology for reducing photolithography process from a four step to a three step process in the fabrication of TFT LCD is introduced. The core technology for 3-mask-TFT processes is the lift-off process [1], by which the PAS and PXL layers can be formed simultaneously. A different method of the lift-off process was developed in order to enhance the performance of efficiency with conventional positive and not negative PR which is the generally used in other lift-off process. In addition, the removal capacity of the ITO/PR in lift-off process was evaluated. The evaluation results showed that the new process can be run in conventional TFT production condition. In order to apply this new process in existing TFT process, several tests were conducted to ensure stability of the TFT process. It was found that the outgases from PR on the substrate in ITO sputtering chamber do not raise any problem, and the deposited ITO film beside the PR has conventional ITO qualities. Furthemore, the particles that were produced due to the ITO chips in PR strip bath could be reduced by the existing filtering system of stripper. With the development of total process and design of the structure for TFT using this technology, 3-mask-panels were achieved in TN and IPS modes, which showed the same display performances as those with the conventional 4mask process. The applicability and usefulness of the 3-mask process has already verified in the mass production line and in fact it currently being used for the production of some products.

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Investigation of Conductive Pattern Line for Direct Digital Printing (디지털 프린팅을 위한 전도성 배선에 관한 연구)

  • Kim, Yong-Sik;Seo, Shang-Hoon;Lee, Ro-Woon;Kim, Tae-Hoon;Park, Jae-Chan;Kim, Tae-Gu;Jeong, Kyoung-Jin;Yun, Kwan-Soo;Park, Sung-Jun;Joung, Jae-Woo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.502-502
    • /
    • 2007
  • Current thin film process using memory device fabrication process use expensive processes such as manufacturing of photo mask, coating of photo resist, exposure, development, and etching. However, direct printing technology has the merits about simple and cost effective processes because inks are directly injective without mask. And also, this technology has the advantage about fabrication of fine pattern line on various substrates such as PCB, FCPB, glass, polymer and so on. In this work, we have fabricated the fine and thick metal pattern line for the electronic circuit board using metal ink contains Ag nano-particles. Metal lines are fabricated by two types of printing methods. One is a conventional printing method which is able to quick fabrication of fine pattern line, but has various difficulties about thick and high resolution DPI(Dot per Inch) pattern lines because of bulge and piling up phenomenon. Another(Second) methods is sequential printing method which has a various merits of fabrication for fine, thick and high resolution pattern lines without bulge. In this work, conductivities of metal pattern line are investigated with respect to printing methods and pattern thickness. As a result, conductivity of thick pattern is about several un.

  • PDF

Effects of Gas Chemistries on Poly-Si Plasma Etching with I-Line and DUV Resist (I-Line과 DUV Resist에서 Poly-Si 플라즈마 식각시 미치는 개스의 영향)

  • 신기수;김재영
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.2
    • /
    • pp.155-160
    • /
    • 1998
  • It is necessary to use Arc layer and DUV resist to define 0.25 $\mu \textrm{m}$ line and space for 256 MDRAM devices. Poly-Si etching with Arc layer and different resists has been performed in a TCP-9408 etcher with variation of gas chemistries; $Cl_2/O_2, Cl_2/N_2, Cl_2$/HBr . DUV resist causes more positive etch profile and CD gain compared to I-line resist because the sidewall passivation is more stimulated by increasing polymerization through the loss of resist. When Arc layer is applied, CD hain also increases due to the polymeric mask formed after thching Arc layer. From the point of gas chemistry effects, the etch profile and CD gain is not improved using $Cl_2/O_2$ gas, since polymerization is accelerated in this gas. however, the vertical profile and less CD gain is obtained using $Cl_2$/HBr gas. Furthermore, HBr gas is very effective to suppress the difference of profile and CD variation between dense pattern and isolated pattern by minimizing non-uniformity of side wall passivation with pattern density.

  • PDF