• Title/Summary/Keyword: Indium-zinc oxide TFTs

Search Result 81, Processing Time 0.032 seconds

Electrical Characteristics of Solution Processed In-Ga-ZnO Thin Film Transistors (IGZO TFTs) with Various Ratio of Materials

  • Lee, Na-Yeong;Choe, Byeong-Deok
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.293.2-293.2
    • /
    • 2016
  • The In this paper, we have fabricated the solution processed In-Ga-ZnO thin film transistors (IGZO TFTs) by varying indium and gallium ratio. The indium ratio of IGZO TFTs was changed from 1 to 5 at fixed gallium and zinc oxide atomic percent of 1:1 and gallium ratio was varied from 1 to 5 at fixed indium and zinc oxide atomic percent of 1:1. When the indium ratio was increased at fixed gallium and zinc oxide ratio of 1:1, threshold voltage was negatively shifted from 1.03 to -6.18 V and also mobility was increased from 0.018 to $0.076cm2/V{\cdot}sec$. It means that the number of carriers in IGZO TFTs were increased due to great formation of the oxygen vacancies which generate electrons. In contrast, when the gallium ratio was increased in IGZO TFTs with indium and zinc oxide ration of 1:1, the on/off current ratio was increased from $1.88{\times}104$ to $2.22{\times}105$. It is because gallium have stronger chemical bonds with oxygen than that with the zinc and indium ions that lead to the decreased in electron concentration.

  • PDF

Characterization of zinc tin oxide thin films by UHV RF magnetron co-sputter deposition

  • Hong, Seunghwan;Oh, Gyujin;Kim, Eun Kyu
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.307.1-307.1
    • /
    • 2016
  • Amorphous zinc tin oxide (ZTO) thin films are being widely studied for a variety electronic applications such as the transparent conducting oxide (TCO) in the field of photoelectric elements and thin film transistors (TFTs). Thin film transistors (TFTs) with transparent amorphous oxide semiconductors (TAOS) represent a major advance in the field of thin film electronics. Examples of TAOS materials include zinc tin oxide (ZTO), indium gallium zinc oxide (IGZO), indium zinc oxide, and indium zinc tin oxide. Among them, ZTO has good optical and electrical properties (high transmittance and larger than 3eV band gap energy). Furthermore ZTO does not contain indium or gallium and is relatively inexpensive and non-toxic. In this study, ZTO thin films were formed by UHV RF magnetron co-sputter deposition on silicon substrates and sapphires. The films were deposited from ZnO and SnO2 target in an RF argon and oxygen plasma. The deposition condition of ZTO thin films were controlled by RF power and post anneal temperature using rapid thermal annealing (RTA). The deposited and annealed films were characterized by X-ray diffraction (XRD), atomic force microscope (AFM), ultraviolet and visible light (UV-VIS) spectrophotometer.

  • PDF

Indium-Zinc Oxide Thin Film Transistors Based N-MOS Inverter (Indium-Zinc 산화물 박막 트랜지스터 기반의 N-MOS 인버터)

  • Kim, Han-Sang;Kim, Sung-Jin
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.30 no.7
    • /
    • pp.437-440
    • /
    • 2017
  • We report on amorphous thin-film transistors (TFTs) with indium zinc oxide (IZO) channel layers that were fabricated via a solution process. We prepared the IZO semiconductor solution with 0.1 M indium nitrate hydrate and 0.1 M zinc acetate dehydrate as precursor solutions. The solution- processed IZO TFTs showed good performance: a field-effect mobility of $7.29cm^2/Vs$, a threshold voltage of 4.66 V, a subthreshold slope of 0.48 V/dec, and a current on-to-off ratio of $1.62{\times}10^5$. To investigate the static response of our solution-processed IZO TFTs, simple resistor load-type inverters were fabricated by connecting a $2-M{\Omega}$ resistor. Our IZOTFTbased N-MOS inverter performed well at operating voltage, and therefore, isa good candidate for advanced logic circuits and display backplane.

Effect of Oxygen Binding Energy on the Stability of Indium-Gallium-Zinc-Oxide Thin-Film Transistors

  • Cheong, Woo-Seok;Park, Jonghyurk;Shin, Jae-Heon
    • ETRI Journal
    • /
    • v.34 no.6
    • /
    • pp.966-969
    • /
    • 2012
  • From a practical viewpoint, the topic of electrical stability in oxide thin-film transistors (TFTs) has attracted strong interest from researchers. Positive bias stress and constant current stress tests on indium-gallium-zinc-oxide (IGZO)-TFTs have revealed that an IGZO-TFT with a larger Ga portion has stronger stability, which is closely related with the strong binding of O atoms, as determined from an X-ray photoelectron spectroscopy analysis.

Manufacture and characteristic evaluation of Amorphous Indium-Gallium-Zinc-Oxide (IGZO) Thin Film Transistors

  • Seong, Sang-Yun;Han, Eon-Bin;Kim, Se-Yun;Jo, Gwang-Min;Kim, Jeong-Ju;Lee, Jun-Hyeong;Heo, Yeong-U
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.166-166
    • /
    • 2010
  • Recently, TFTs based on amorphous oxide semiconductors (AOSs) such as ZnO, InZnO, ZnSnO, GaZnO, TiOx, InGaZnO(IGZO), SnGaZnO, etc. have been attracting a grate deal of attention as potential alternatives to existing TFT technology to meet emerging technological demands where Si-based or organic electronics cannot provide a solution. Since, in 2003, Masuda et al. and Nomura et al. have reported on transparent TFTs using ZnO and IGZO as active layers, respectively, much efforts have been devoted to develop oxide TFTs using aforementioned amorphous oxide semiconductors as their active layers. In this thesis, I report on the performance of thin-film transistors using amorphous indium gallium zinc oxides for an active channel layer at room temperature. $SiO_2$ was employed as the gate dielectric oxide. The amorphous indium gallium zinc oxides were deposited by RF magnetron sputtering. The carrier concentration of amorphous indium gallium zinc oxide was controlled by oxygen pressure in the sputtering ambient. Devices are realized that display a threshold voltage of 1.5V and an on/off ration of > $10^9$ operated as an n-type enhancement mode with saturation mobility with $9.06\;cm^2/V{\cdot}s$. The devices show optical transmittance above 80% in the visible range. In conclusion, the fabrication and characterization of thin-film transistors using amorphous indium gallium zinc oxides for an active channel layer were reported. The operation of the devices was an n-type enhancement mode with good saturation characteristics.

  • PDF

Study on the Seasoning Effect for Amorphous In-Ga-Zn-O Thin Film Transistors with Soluble Hybrid Passivation

  • Yun, Su-Bok;Kim, Du-Hyeon;Hong, Mun-Pyo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.256-256
    • /
    • 2012
  • Oxide semiconductors such as zinc tin oxide (ZTO) or indium gallium zinc oxide (IGZO) have attracted a lot of research interest owing to their high potential for application as thin film transistors (TFTs) [1,2]. However, the instability of oxide TFTs remains as an obstacle to overcome for practical applications to electronic devices. Several studies have reported that the electrical characteristics of ZnO-based transistors are very sensitive to oxygen, hydrogen, and water [3,4,5]. To improve the reliability issue for the amorphous InGaZnO (a-IGZO) thin-film transistor, back channel passivation layer is essential for the long term bias stability. In this study, we investigated the instability of amorphous indium-gallium-zinc-oxide (IGZO) thin film transistors (TFTs) by the back channel contaminations. The effect of back channel contaminations (humidity or oxygen) on oxide transistor is of importance because it might affect the transistor performance. To remove this environmental condition, we performed vacuum seasoning before the deposition of hybrid passivation layer and acquired improved stability. It was found that vacuum seasoning can remove the back channel contamination if a-IGZO film. Therefore, to achieve highly stable oxide TFTs we suggest that adsorbed chemical gas molecules have to be eliminated from the back-channel prior to forming the passivation layers.

  • PDF

Characteristics of Indium Tin Zinc Oxide Thin Film Transistors with Plastic Substrates (고분자 기판과 PECVD 절연막에 따른 ITZO 박막 트랜지스터의 특성 분석)

  • Yang, Dae-Gyu;Kim, Hyoung-Do;Kim, Jong-Heon;Kim, Hyun-Suk
    • Korean Journal of Materials Research
    • /
    • v.28 no.4
    • /
    • pp.247-253
    • /
    • 2018
  • We examined the characteristics of indium tin zinc oxide (ITZO) thin film transistors (TFTs) on polyimide (PI) substrates for next-generation flexible display application. In this study, the ITZO TFT was fabricated and analyzed with a SiOx/SiNx gate insulator deposited using plasma enhanced chemical vapor deposition (PECVD) below $350^{\circ}C$. X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS) results revealed that the oxygen vacancies and impurities such as H, OH and $H_2O$ increased at ITZO/gate insulator interface. Our study suggests that the hydrogen related impurities existing in the PI and gate insulator were diffused into the channel during the fabrication process. We demonstrate that these impurities and oxygen vacancies in the ITZO channel/gate insulator may cause degradation of the electrical characteristics and bias stability. Therefore, in order to realize high performance oxide TFTs for flexible displays, it is necessary to develop a buffer layer (e.g., $Al_2O_3$) that can sufficiently prevent the diffusion of impurities into the channel.

Simple Route to High-performance and Solution-processed ZnO Thin Film Transistors Using Alkali Metal Doping

  • Kim, Yeon-Sang;Park, Si-Yun;Kim, Gyeong-Jun;Im, Geon-Hui
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.187-187
    • /
    • 2012
  • Solution-processed metal-alloy oxides such as indium zinc oxide (IZO), indium gallium zinc oxide (IGZO) has been extensively researched due to their high electron mobility, environmental stability, optical transparency, and solution-processibility. In spite of their excellent material properties, however, there remains a challenging problem for utilizing IZO or IGZO in electronic devices: the supply shortage of indium (In). The cost of indium is high, what is more, indium is becoming more expensive and scarce and thus strategically important. Therefore, developing an alternative route to improve carrier mobility of solution-processable ZnO is critical and essential. Here, we introduce a simple route to achieve high-performance and low-temperature solution-processed ZnO thin film transistors (TFTs) by employing alkali-metal doping such as Li, Na, K or Rb. Li-doped ZnO TFTs exhibited excellent device performance with a field-effect mobility of $7.3cm^2{\cdot}V-1{\cdot}s-1$ and an on/off current ratio of more than 107. Also, in case of higher drain voltage operation (VD=60V), the field effect mobility increased up to $11.45cm^2{\cdot}V-1{\cdot}s-1$. These all alkali metal doped ZnO TFTs were fabricated at maximum process temperature as low as $300^{\circ}C$. Moreover, low-voltage operating ZnO TFTs was fabricated with the ion gel gate dielectrics. The ultra high capacitance of the ion gel gate dielectrics allowed high on-current operation at low voltage. These devices also showed excellent operational stability.

  • PDF

Threshold voltage shift of solution processed InGaZnO thin film transistors with indium composition ratio (용액 공정으로 제작된 InGaZnO TFT의 인듐 조성비에 따른 문턱전압 변화)

  • Park, Ki-Ho;Lee, Deuk-Hee;Lee, Dong-Yun;Ju, Byung-Kwon;Lee, Sang-Yeol
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2010.06a
    • /
    • pp.3-3
    • /
    • 2010
  • We investigated the influence of the indium content on the threshold voltage ($V_{th}$) shift of sol-gel-derived indium-gallium-zinc oxide (IGZO) thin film transistors (TFTs). Surplus indium composition ratio into IGZO decreases the value of $V_{th}$ of IGZO TFTs showed huge $V_{th}$ shift in the negative direction. $V_{th}$ shift decreases from 10 to -28.2V as Indium composition ratio is increased. Because the free electron density is increased according to variation of the Indium composition ratio.

  • PDF