• Title/Summary/Keyword: ICP Etching

Search Result 297, Processing Time 0.028 seconds

Contact block copolymer technique을 이용한 실리콘 나노-필라 구조체 제작방법

  • Kim, Du-San;Kim, Hwa-Seong;Park, Jin-U;Yun, Deok-Hyeon;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.189-189
    • /
    • 2015
  • Plasmonics, sensor, field effect transistors, solar cells 등 다양한 적용분야를 가지는 실리콘 구조체는 제작공정에 의해 전기적 및 광학적 특성이 달라지기 때문에 적합한 나노구조 제작방법이 요구되고 있다. 나노구조체 제작방법으로는 Photo lithography, Extreme ultraviolet lithography (EUV), Nano imprinting lithography (NIL), Block copolymer (BCP) 방식의 방법들이 연구되고 있으며, 특히 BCP는 direct self-assembly 특성을 가지고 있으며 가격적인 면에서도 큰 장점을 가진다. 하지만 BCP를 mask로 사용하여 식각공정을 진행할 경우 BCP가 버티지 못하고 변형되어 mask로서의 역할을 하지 못한다. 이러한 문제를 해결하기 위하여 본 논문에서는 BCP와 질화막을 이용한 double mask 방법을 사용하였다. 기판 위에 BCP를 self-assembly 시키고 mask로 사용하여 hole 부분으로 노출된 기판을 Ion gun을 통해 질화 시킨 후에 BCP를 제거한다. 기판 위에 hole 모양의 질화막 표면은 BCP와 다르게 etching 공정 중 변형되지 않는다. 이러한 질화막 표면을 mask로 사용하여 pillar pattern의 실리콘 나노구조체를 제작하였다. 질화막 mask로 사용되는 template은 PS와 PMMA로 구성된 BCP를 사용하였다. 140kg/mol의 polystyrene과 65kg/mol의 PMMA를 톨루엔으로 용해시키고 실리콘 표면 위에 spin coating으로 도포하였다. Spin coat 후 230도에서 40시간 동안 열처리를 진행하여 40nm의 직경을 가진 PS-b-PMMA self-assembled hole morphology를 형성하였다. 질화막 형성 및 etching을 위한 장비로 low-energy Ion beam system을 사용하였다. Reactive Ion beam은 ICP와 3-grid system으로 구성된 Ion gun으로부터 형성된다. Ion gun에 13.56 MHz의 frequency를 갖는 200W 전력을 인가하였다. Plasma로부터 나오는 Ion은 $2{\Phi}$의 직경의 hole을 가지는 3-grid hole로 추출된다. 10~70 voltage 범위의 전위를 plasma source 바로 아래의 1st gird에 인가하고, 플럭스 조절을 위해 -150V의 전위를 2nd grid에 인가한다. 그리고 3rd grid는 접지를 시켰다. chamber내의 질화 및 식각가스 공급은 2mTorr로 유지시켰다. 그리고 기판의 온도는 냉각칠러를 이용하여 -20도로 냉각을 진행하였다. 이와 같은 공정 결과로 100 nm 이상의 높이를 갖는 40 nm직경의 균일한 Silicon pillar pattern을 형성 할 수 있었다.

  • PDF

The Study on the Etching Characteristics of Pt Thin Film by $O_2$ Addition to $_2$/Ar Gas Plasma (Cl$_2$/Ar 가스 플라즈마에 $O_2$ 첨가에 따른 Pt 식각 특성 연구)

  • 김창일;권광호
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.5
    • /
    • pp.29-35
    • /
    • 1999
  • Inductively coupled plsama etching of platinum thin film was studied using $O_2$ addition to $Cl_2$/Ar gas plasma. In this study, Pt etching mechanism was investigated with Ar/$Cl_2$ /$O_2$ gas plasma by using XPS and QMS. Ion current density was measured with Ar/$Cl_2$ /$O_2$ gas plasma by using single Langmuir probe. It was confirmed by using QMS and single Langmuir probe that Cl and Ar species rapidly decreased and ion current density was also decreased with increasing $O_2$ gas ratios. These results implied that the decrease of Pt etch rate is due to the decrease of reactive species ans ion current density with increasing $O_2$ gas mixing ratios. A maximum etch rate of 150nm/min and the oxide selectivity of 2.5 were obtained at Ar/$Cl_2$ /$O_2$ flow rate of 50 seem, RF power of 600 W, dc bias voltage of 125 V, and the total pressure of 10 mTorr.

  • PDF

Etching characteristics of Al-Nd alloy thin films using magnetized inductively coupled plasma

  • Lee, Y.J.;Han, H.R.;Yeom, G.Y.
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 1999.10a
    • /
    • pp.56-56
    • /
    • 1999
  • For advanced TFT-LCD manufacturing processes, dry etching of thin-film layers(a-Si, $SiN_x$, SID & gate electrodes, ITO etc.) is increasingly preferred instead of conventional wet etching processes. To dry etch Al gate electrode which is advantageous for reducing propagation delay time of scan signals, high etch rate, slope angle control, and etch uniformity are required. For the Al gate electrode, some metals such as Ti and Nd are added in Al to prevent hillocks during post-annealing processes in addition to gaining low-resistivity($<10u{\Omega}{\cdot}cm$), high performance to heat tolerance and corrosion tolerance of Al thin films. In the case of AI-Nd alloy films, however, low etch rate and poor selectivity over photoresist are remained as a problem. In this study, to enhance the etch rates together with etch uniformity of AI-Nd alloys, magnetized inductively coupled plasma(MICP) have been used instead of conventional ICP and the effects of various magnets and processes conditions have been studied. MICP was consisted of fourteen pairs of permanent magnets arranged along the inside of chamber wall and also a Helmholtz type axial electromagnets was located outside the chamber. Gas combinations of $Cl_2,{\;}BCl_3$, and HBr were used with pressures between 5mTorr and 30mTorr, rf-bias voltages from -50Vto -200V, and inductive powers from 400W to 800W. In the case of $Cl_2/BCl_3$ plasma chemistry, the etch rate of AI-Nd films and etch selectivity over photoresist increased with $BCl_3$ rich etch chemistries for both with and without the magnets. The highest etch rate of $1,000{\AA}/min$, however, could be obtained with the magnets(both the multi-dipole magnets and the electromagnets). Under an optimized electromagnetic strength, etch uniformity of less than 5% also could be obtained under the above conditions.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Etching Mechanism Of Bi4-xEuxTiO12 (BET) Thin films Using Ar/CF4 Inductively Coupled Plasma (Ar/CF4 유도결합 플라즈마를 이용한 BET 박막의 식각 메카니즘)

  • 임규태;김경태;김동표;김창일
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.4
    • /
    • pp.298-303
    • /
    • 2003
  • Bi$_4$-$_{x}$EU$_{x}$Ti$_3$O$_{12}$ (BET) thin films were etched by inductively coupled CF$_4$/Ar plasma. We obtained the maximum etch rate of 78 nm/min at the gas mixing ratio of CF$_4$(10%)/Ar(90%). The variation of volume density for F and Ar atoms are measured by the optical emission spectroscopy. As CF$_4$increased in CF$_4$/Ar plasma, the emission intensities of F increase, but Ar atoms decrease, which confirms our suggestion that emission intensity is proportional to the volume density of atoms. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O and the Ti-O peaks are changed. By pure Ar plasma, intensity peak of the oxygen-metal (O-M : TiO$_2$, Bi$_2$O$_3$, Eu$_2$O$_3$) bond was seemed to disappear while the intensity of pure oxygen peak showed an opposite tendency. After the BET thin films was etched by CF$_4$/Ar plasma, the peak intensity of O-M bond increase slowly, but more quickly than that of peak belonged to pure oxygen atoms due to the decrease of Ar ion bombardment. Scanning electron microscopy was used to investigate etching Profile. The Profile of etched BET thin film was over 85$^{\circ}$./TEX>.

Inductively coupled Plasma Reactive ion etching of Ge doped silica glass using $C_2F_6$ and $NF_3$ ($C_2F_6$$NF_3$ 유도결합플라즈마를 이용한 $SiO_2$:Ge 식각에관한 연구)

  • 이석룡;문종하;김원효;이병택
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.11a
    • /
    • pp.225-225
    • /
    • 2003
  • 실리카글라스를 기초로 하는 PLC소자는 가격, 광 손실 성질과 광섬유와의 결합효율이 좋아 광통신에 응용되어지고 있으며 Ge 도핑된 실리카 글라스는 PLC소자의 코어물질로 널리 사용되고 있다. 소작제작을 위해서는 높은 식각률과 깨끗하고 적은 표면손상을 얻어야 하므로 유도결합플라즈마를 이용한 건식식각공정개발이 이루어 져야 한다. 본 연구에서는 Ge 도핑된 실리카글라스의 식각특성을 연구하기 위해 $C_2$F/6 와 NF$_3$가스를 사용하였고 ICP power, bias power, 압력, 플라즈마와 샘플간의 거리를 변화시키면서 식각속도, 표면거칠기, 메사수직도, 마스크선택도등 기본공정 조건을 연구하고 첨가가스(CH$_4$, $O_2$), 마스크 물질(Ni, Cr, PR) 도핑농도(0.3, 0.45, 0.7%)등을 변화시키면서 식각특성을 연구하였다. 그 결과 300nm/min, 정도의 식각속도를 가지고 수직한 메사각도(~89$^{\circ}$)와 미려한 표면(표면거 칠기 1.5nm 이하)를 갖는 결과를 얻었다.

  • PDF

Selective dry etching of III-nitrides in inductively coupled plasmas

  • Hyun CHo;Jin Kon Kim;Stephen J. Pearton
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.11 no.3
    • /
    • pp.102-105
    • /
    • 2001
  • A parametric cmpariosn of etch rate and etch selectivity has been performed for GaN, InN and AIN etched in chlorine- and boron halides-based Inductively Coupled Plasma (ICP) discharges. Chlorine-based chemistries produced controllable etch rates (50~150 nm/min) and maximum etch selectivities ~6 for InN over GaN and ~10 for InN over AlN. Maximum etch selectivities of ~100 for InN over GaN and InN over AlN were obtained in boron halides-based discharges and smooth etched surface morphologies were also achieved.

  • PDF

A Study of Etched ITO Characteristics by Inductively Coupled Plasma (유도 결합 플라즈마에 의해 식각된 ITO 특성 연구)

  • Wi, Jae-Hyung;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2010.06a
    • /
    • pp.175-175
    • /
    • 2010
  • The etching characteristics with etch rate of ITO thin films in an $O_2/BCl_3$/Ar plasma were investigated. The etch rate of ITO thin films increased with increasing $O_2$ content from 0 to 10 % in $BCl_3$/Ar plasma, whereas that of ITO decreased with increasing $O_2$ content from 10 % to 30 % in $BCl_3$/Ar plasma. The maximum etch rate of 65.9 nm/min for the ITO thin films was obtained at 10 % $O_2$ addition. The etch conditions were the RF power of 500 W, bias power of 200 W, and process pressure of 2 Pa. The analysis of x-ray photoelectron spectroscopy (XPS) was carried out to investigate the chemical reactions between the surfaces of ITO thin films and etch species.

  • PDF

A study on the etch characteristics of BST thin films using inductively coupled plasma (유도결합 플라즈마를 이용한 BST 박막의 식각 특성 연구)

  • Kim, Gwan-Ha;Kim, Kyoung-Tae;Kim, Chang-Il;Kim, Tae-Hyung;Lee, Chul-In
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.04b
    • /
    • pp.22-25
    • /
    • 2004
  • In this study, BST thin films were etched with inductively coupled $CF_4/(Cl_2+Ar)$ plasmas. The etch characteristics of BST thin films as a function of $CF_4/(Cl_2+Ar)$ gas mixtures were analyzed using quadrupole mass spectrometry (QMS) and optical emission spectroscopy (OES). The maximum etch rate of the BST thin films was 53.6 nm/min because small addition of $CF_4$ to the $Cl_2/Ar$ mixture increased chemical effect. The optimum condition appears to be under a 10 % $CF_4/(Cl_2+Ar)$ gas mixture in the present work.

  • PDF

The Study of Etching Characteristic of the ZnO thin film using a $CH_4/Ar$ Inductively Coupled Plasma ($CH_4/Ar$ 유도결합플라즈마를 이용한 ZnO 박막의 식각 특정에 관한 연구)

  • Eom, Du-Seung;Heo, Gyeong-Mu;Park, Jeong-Su;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.266-267
    • /
    • 2009
  • 본 논문에서는 As-doped ZnO 박막의 플라즈마 식각 특성 및 메커니즘에 관하여 실험을 수행하였다. p-type과 n-type ZnO 박막의 실험은 유도 결합 플라즈마 식각 장비(inductively coupled plasma; ICP)를 이용하였고, $CH_4/Ar$ 플라즈마의 가스의 비, RF 전력, DC 바이어스 전압과 공정 압력에 대한 식각 속도의 변화를 관찰 하였다.

  • PDF