• Title/Summary/Keyword: Etched Profile

Search Result 88, Processing Time 0.023 seconds

Wet Etch Characteristics of Magnetic Thin Films (자성 박막의 습식 식각 특성)

  • 변요한;정지원
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.2
    • /
    • pp.105-109
    • /
    • 2002
  • The wet etching characteristics of magnetic materials such as NiFe and CoFe were investigated in terms of etch rate and etch profile by using variouus etching solutions (etchants). Among the various etching solutions, HNO$_3$, HCl, and H$_2$SO$_4$were selected for the etching of magnetic materials and showed distinct results. In the case of NiFe films, faster etch rate were obtained with HNO$_3$solution. When NiFe films ere etched with HCl solution, white etch residues were found on the surface of etched films. From FEAES analysis of these etch residues, they were proved to be by-product from the reaction of NiFe with Cl element. CoFe thin films showed the similar trend to the case of NiFe films. They were etched fast in HNO$_3$ solution while Chl solution represented slow etching. The etch profiles of CoFe films showed smooth etch profile but revealed the partial etching around the patterns in HNO$_3$solution of relatively high concentration. It was observed that the etched surface was clean and smooth, and that white etch residues were also remained on the etched films.

Wavelet Characterization of Profile Uniformity Using Neural Network

  • Park, Won-Sun;Lim, Myo-Teak;Kim, Byungwhan
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 2002.10a
    • /
    • pp.46.5-46
    • /
    • 2002
  • As device dimension shrinks down to sub 100nm, it is increasingly important to monitor plasma states. Plasma etching is a key means to fine patterning of thin films. Many parameters are involved in etching and each parameter has different impact on process performances, including etch rate and profile. The uniformity of etch responses should be maintained high to improve device yield and throughput. The uniformity can be measured on any etch response. The most difficulty arises when attempting to characterize etched profile. Conventionally, the profile has been estimated by measuring the slope or angle of etched pattern. One critical drawback in this measurement is that this is unable to cap...

  • PDF

A study on etching mechanism of SBT thin flim by using Ar/$CHF_3$plasma (Ar/$CHF_34$플라즈마를 이용한 SBT 박막에 대한 식각 메카니즘 연구)

  • 서정우;장의구;김창일;이원재;유병곤
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.3
    • /
    • pp.183-187
    • /
    • 2000
  • In this study the SrBi$_2$Ta$_2$$O_{9}$ (SBT) thin films were etched by using magnetically enhanced inductively coupled Ar/CHF$_3$plasma as function of CHF$_3$/(Ar+CHF$_3$)gas mixing ratio. Maximum etch rate of SBT thin films was 1650 $\AA$/min and the selectivities of SBT to Pt and photoresist(PR) were 1.35 and 0.94 respectively under CHF$_3$/(Ar+CHF$_3$) of 0.1 For study on etching mechanism of SBT thin film X-ray photoelectron spectroscopy (XPS) surface analyses and secondary ion mass spectrometry (SIMS) mass analysis of etched SBT surfaces were performed. Among the elements of SBT thin film. M(Sr, Bi, Ta)-O bonds are broken by Ar ion bombardment and form SrF and TaF$_2$by chemical reaction with F. SrF and TaF$_2$are removed more easily by Ar ion bombardment. Scanning electron microscopy(SEM) was used for the profile examination of etched SBT film and the cross-sectional SEM profile of etched SBT film under CHF$_3$(Ar+CHF$_3$) of 0.1 was about 85$^{\circ}$X>.

  • PDF

Etching characteristic of SBT thin film by using Ar/$CHF_3$ Plasma (Ar/$CHF_3$ 플라즈마를 이용한 SBT 박막에 대한 식각특성 연구)

  • 서정우;이원재;유병곤;장의구;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.11a
    • /
    • pp.41-43
    • /
    • 1999
  • Among the feffoelectric thin films that have been widely investigated for ferroelectric random access memory (FRAM) applications, SrBi$_2$Ta$_2$$O_{9}$ thin film is appropriate to memory capacitor materials for its excellent fatigue endurance. However, very few studies on etch properties of SBT thin film have been reported although dry etching is an area that demands a great deal of attention in the very large scale integrations. In this study, the a SrBi$_2$Ta$_2$$O_{9}$ thin films were etched by using magnetically enhanced inductively coupled Ar/CHF$_3$ plasma. Etch properties, such as etch rate, selectivity, and etched profile, were measured according to gas mixing ratio of CHF$_3$(Ar$_{7}$+CHF$_3$) and the other process conditions were fixed at RF power of 600 W, dc bias voltage of 150 V, chamber pressure of 10 mTorr. Maximum etch rate of SBT thin films was 1750 A77in, under CHF$_3$(Ar+CHF$_3$) of 0.1. The selectivities of SBT to Pt and PR were 1.35 and 0.94 respectively. The chemical reaction of etched surface were investigated by X-ray photoelectron spectroscopy (XPS) analysis. The Sr and Ta atoms of SBT film react with fluorine and then Sr-F and Ta-F were removed by the physical sputtering of Ar ion. The surface of etched SBT film with CHF$_3$(Ar+CHF$_3$) of 0.1 was analyzed by secondary ion mass spectrometer (SIMS). Scanning electron microscopy (SEM) was used for examination of etched profile of SBT film under CHF$_3$(Ar+CHF$_3$) of 0.1 was about 85˚.85˚.˚.

  • PDF

GaN Dry Etching Characteristics using a planar Inductively coupled plasma (평판형 유도 결합 플라즈마틀 이용한 GaN 건식 식각 특성)

  • Kim, Moon-Young;Kim, Tae-Hyun;Jang, Sang-Hun;Tae, Heung-Sik
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.276-278
    • /
    • 1997
  • The reliable etching process is one of the essential steps in fabricating GaN based-device. High etch rate is needed to obtain a deeply etched structure and perfect anisotropic etched facet is needed to obtain lasing profile. In the research, therefore, we had proposed a planar inductively coupled plasma etcher (Planar ICP Etcher) as a high density plasma source, and studied the etching mechanism using the $CH_4/H_2$/Ar gas mixture. Dry etching characteristics such as etch rate, anisotropic etching profile and so on, for the III-V nitride layers were investigated using Planar ICP Etcher, based on the plasma characteristic as a variation of plasma process parameters.

  • PDF

ICP ETCHING OF TUNGSTEN FOR X-RAY MASKS

  • Jeong, C.;Song, K.;Park, C.;Jeon, Y.;Lee, D.;Ahn, J.
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.869-875
    • /
    • 1996
  • In this article the effects of process parameters of inductively coupled plasma etching with $SF_6$ /$N_2$/Ar mixture gas and mask materials on the etched profile of W were investigated. While the etched profile was improved by $N_2$-addition, low working presure, and reduced $SF_6$ flow rate, the etching selectity (W against SAL resist) was decreased. Due to the difficulty of W etching with single layer resist, sputter deposited $Al_2O_3$ film was used as a hardmask. Reduction of required EB resist thickness through $Al_2O_3$ mask application could reduce proximity effect during e-beam patterning, but the etch anisotropy was degraded by decreased sidewall passiviation effect.

  • PDF

Oxide etching characteristics and Etched Profiles by the Enhanced Inductive Coupled Plasma (산화막 식각에 적용된 E-ICP효과와 형상단면비교)

  • 조수범;송호영;박세근;오범환
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.612-615
    • /
    • 2000
  • The etch rate of $SiO_2$ in Enhanced - Inductive Coupled Plasma (E-ICP) and CW-ICP systems are investigated. As addition of $O_2$ to $CF_4$ gas increases oxide etch rate, E-ICP etching shows the highest etch rate (about 6000A) at an optimized condition with 30% $O_2$ in $CF_4$ 70Hz at the modulation frequency of 70Hz. E-ICP also shows better etch profile than CW-ICP.

  • PDF

A Study of the Etched ZnO Thin Films Surface by Reactive Ion in the Cl2/BCl3/Ar Plasma (Cl2/BCl3/Ar 플라즈마에서 반응성 이온들에 의해 식각된 ZnO 박막 표면 연구)

  • Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.10
    • /
    • pp.747-751
    • /
    • 2010
  • In the study, the characteristics of the etched Zinc oxide (ZnO) thin films surface, the etch rate of ZnO thin film in $Cl_2/BCl_3/Ar$ plasma was investigated. The maximum ZnO etch rate of 53 nm/min was obtained for $Cl_2/BCl_3/Ar$=3:16:4 sccm gas mixture. According to the x-ray diffraction (XRD) and atomic force microscopy (AFM), the etched ZnO thin film was investigated to the chemical reaction of the ZnO surface in $Cl_2/BCl_3/Ar$ plasma. The field emission auger electron spectroscopy (FE-AES) analysis showed an elemental analysis from the etched surfaces. According to the etching time, the ZnO thin film of etched was obtained to The AES depth-profile analysis. We used to atomic force microscopy to determine the roughness of the surface. So, the root mean square of ZnO thin film was 17.02 in $Cl_2/BCl_3/Ar$ plasma. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas.

A Study on the Etching Characateristics of TiW Films using BCl$_3$/SF6/ gas chemistries (BCl$_3$/SF6 gas chemistries에 의한 TiW막의 식각특성 연구)

  • 권광호;김창일;윤선진;김상기;백규하;남기수
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.3
    • /
    • pp.1-8
    • /
    • 1997
  • The surface properties after plasma etching of TiW alloy using the chemistries of BCl$_{3}$ and SF$_{6}$ gases with varying mixing ratio have been investigated using XPS(X-ray photoelectron spectrocopy). The elements existed on the etched sampled have been extracted with BCL$_{3}$/SF$_{6}$ ratio and their chemical binding states have also been analysed. It was confirmed that the thickness of native oxide formed on the TiW films is thinner than 10nm by using Ar sputtering. At the same time, the roughness of etched surface has been esamnied using AFM (atomic force microscopy). on the basis of the basis of this results, the relations between the caanges of oxygen contents detected by XPS and the rouhness of etched surface have been discussed. And the etch rate and etched profile of Tiw films have been examined and the changes of the etch rate and etched prfile have been discussed with XPS results. From XPS results, the role of passivation layer consisted of Ti-S compound with XPS results. From XPS results, the role of passivation layer consisted of Ti-S compound has been proposed. Ti-S compound seems to make a role of passivation layer that surpresses Ti-O formation.ion.

  • PDF

The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher (범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각)

  • 조수범;박세근;오범환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.