• 제목/요약/키워드: Etch modeling

검색결과 34건 처리시간 0.03초

일반화된 회귀신경망과 유전자 알고리즘을 이용한 식각 마이크로 트렌치 모델링 (Modeling of etch microtrenching using generalized regression neural network and genetic algorithm)

  • 이덕우;김병환
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2005년도 심포지엄 논문집 정보 및 제어부문
    • /
    • pp.27-29
    • /
    • 2005
  • Using a generalized regression neural network, etch microtrenching was modeled. All neurons in the pattern layer were equipped with multi-factored spreads and their complex effects on the prediction performance were optimized by means of a genetic algorithm. For comparison, GRNN model was constructed in a conventional way. Comparison result revealed that GA-GRNN model was more accurate than GRNN model by about 30%. The microtrenching data were collected during the etching of silicon oxynitride film and the etch process was characterized by a statistical experimental design.

  • PDF

Virtual Metrology for predicting $SiO_2$ Etch Rate Using Optical Emission Spectroscopy Data

  • Kim, Boom-Soo;Kang, Tae-Yoon;Chun, Sang-Hyun;Son, Seung-Nam;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.464-464
    • /
    • 2010
  • A few years ago, for maintaining high stability and production yield of production equipment in a semiconductor fab, on-line monitoring of wafers is required, so that semiconductor manufacturers are investigating a software based process controlling scheme known as virtual metrology (VM). As semiconductor technology develops, the cost of fabrication tool/facility has reached its budget limit, and reducing metrology cost can obviously help to keep semiconductor manufacturing cost. By virtue of prediction, VM enables wafer-level control (or even down to site level), reduces within-lot variability, and increases process capability, $C_{pk}$. In this research, we have practiced VM on $SiO_2$ etch rate with optical emission spectroscopy(OES) data acquired in-situ while the process parameters are simultaneously correlated. To build process model of $SiO_2$ via, we first performed a series of etch runs according to the statistically designed experiment, called design of experiments (DOE). OES data are automatically logged with etch rate, and some OES spectra that correlated with $SiO_2$ etch rate is selected. Once the feature of OES data is selected, the preprocessed OES spectra is then used for in-situ sensor based VM modeling. ICP-RIE using 葰.56MHz, manufactured by Plasmart, Ltd. is employed in this experiment, and single fiber-optic attached for in-situ OES data acquisition. Before applying statistical feature selection, empirical feature selection of OES data is initially performed in order not to fall in a statistical misleading, which causes from random noise or large variation of insignificantly correlated responses with process itself. The accuracy of the proposed VM is still need to be developed in order to successfully replace the existing metrology, but it is no doubt that VM can support engineering decision of "go or not go" in the consecutive processing step.

  • PDF

Fault Diagnosis in Semiconductor Etch Equipment Using Bayesian Networks

  • Nawaz, Javeria Muhammad;Arshad, Muhammad Zeeshan;Hong, Sang Jeen
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제14권2호
    • /
    • pp.252-261
    • /
    • 2014
  • A Bayesian network (BN) based fault diagnosis framework for semiconductor etching equipment is presented. Suggested framework contains data preprocessing, data synchronization, time series modeling, and BN inference, and the established BNs show the cause and effect relationship in the equipment module level. Statistically significant state variable identification (SVID) data of etch equipment are preselected using principal component analysis (PCA) and derivative dynamic time warping (DDTW) is employed for data synchronization. Elman's recurrent neural networks (ERNNs) for individual SVID parameters are constructed, and the predicted errors of ERNNs are then used for assigning prior conditional probability in BN inference of the fault diagnosis. For the demonstration of the proposed methodology, 300 mm etch equipment model is reconstructed in subsystem levels, and several fault diagnosis scenarios are considered. BNs for the equipment fault diagnosis consists of three layers of nodes, such as root cause (RC), module (M), and data parameter (DP), and the constructed BN illustrates how the observed fault is related with possible root causes. Four out of five different types of fault scenarios are successfully diagnosed with the proposed inference methodology.

유도결합 플라즈마를 이용한 BST 박막의 식각 특성 및 모델링 (Etching characteristics and modeling of BST thin films using inductively coupled plasma)

  • 김관하;김경태;김동표;이철인;김태형;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.29-32
    • /
    • 2004
  • This work was devoted to an investigation of etching mechanisms for $(Ba,Sr)TiO_3$ (BST) thin films in inductively coupled $CF_4/Ar$ plasma. We have found that an increase of the Ar content in $CF_4/Ar$ plasma causes non-monotonic behavior of BST etch rate, which reaches a maximum value of 40 nm/min at 80% Ar. Langmuir probe measurements show a weak sensitivity of both electron temperature and electron density to the change of $CF_5/Ar$ mixing ratio. O-D model for plasma chemistry gave monotonic changes of both volume densities and fluxes for active species responsible for the etching process. The analysis of surface kinetics confirms the possibility of non-monotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction.

  • PDF

GPU Based Feature Profile Simulation for Deep Contact Hole Etching in Fluorocarbon Plasma

  • Im, Yeon-Ho;Chang, Won-Seok;Choi, Kwang-Sung;Yu, Dong-Hun;Cho, Deog-Gyun;Yook, Yeong-Geun;Chun, Poo-Reum;Lee, Se-A;Kim, Jin-Tae;Kwon, Deuk-Chul;Yoon, Jung-Sik;Kim3, Dae-Woong;You, Shin-Jae
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.80-81
    • /
    • 2012
  • Recently, one of the critical issues in the etching processes of the nanoscale devices is to achieve ultra-high aspect ratio contact (UHARC) profile without anomalous behaviors such as sidewall bowing, and twisting profile. To achieve this goal, the fluorocarbon plasmas with major advantage of the sidewall passivation have been used commonly with numerous additives to obtain the ideal etch profiles. However, they still suffer from formidable challenges such as tight limits of sidewall bowing and controlling the randomly distorted features in nanoscale etching profile. Furthermore, the absence of the available plasma simulation tools has made it difficult to develop revolutionary technologies to overcome these process limitations, including novel plasma chemistries, and plasma sources. As an effort to address these issues, we performed a fluorocarbon surface kinetic modeling based on the experimental plasma diagnostic data for silicon dioxide etching process under inductively coupled C4F6/Ar/O2 plasmas. For this work, the SiO2 etch rates were investigated with bulk plasma diagnostics tools such as Langmuir probe, cutoff probe and Quadruple Mass Spectrometer (QMS). The surface chemistries of the etched samples were measured by X-ray Photoelectron Spectrometer. To measure plasma parameters, the self-cleaned RF Langmuir probe was used for polymer deposition environment on the probe tip and double-checked by the cutoff probe which was known to be a precise plasma diagnostic tool for the electron density measurement. In addition, neutral and ion fluxes from bulk plasma were monitored with appearance methods using QMS signal. Based on these experimental data, we proposed a phenomenological, and realistic two-layer surface reaction model of SiO2 etch process under the overlying polymer passivation layer, considering material balance of deposition and etching through steady-state fluorocarbon layer. The predicted surface reaction modeling results showed good agreement with the experimental data. With the above studies of plasma surface reaction, we have developed a 3D topography simulator using the multi-layer level set algorithm and new memory saving technique, which is suitable in 3D UHARC etch simulation. Ballistic transports of neutral and ion species inside feature profile was considered by deterministic and Monte Carlo methods, respectively. In case of ultra-high aspect ratio contact hole etching, it is already well-known that the huge computational burden is required for realistic consideration of these ballistic transports. To address this issue, the related computational codes were efficiently parallelized for GPU (Graphic Processing Unit) computing, so that the total computation time could be improved more than few hundred times compared to the serial version. Finally, the 3D topography simulator was integrated with ballistic transport module and etch reaction model. Realistic etch-profile simulations with consideration of the sidewall polymer passivation layer were demonstrated.

  • PDF

신경망과 웨이브렛을 이용한 플라즈마 식각공정 모델링 (Modeling of plasma etch process usuing neural network and wavelet)

  • 이수진;김병환;유임수;우봉주
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2011년도 춘계학술대회 및 Fine pattern PCB 표면 처리 기술 워크샵
    • /
    • pp.93-94
    • /
    • 2011
  • 플라즈마 감시를 위한 신경망 진단 모델을 개발한다. 이를 위해 광반사분광기, 웨이브릿, 주인자 분석, 그리고 신경망이 이용되었다. 플라즈마 식각공정데이터에 적용하여 비교 평가한 결과 모델의 예측성능이 식각특성, 분산비율, 그리고 웨이브릿의 종류에 따라 다름을 확인하였다. 개발된 모델은 웨이퍼 단위의 플라즈마 감시시스템의 개발에 응용될 수 있다.

  • PDF

On the Etching Mechanism of Parylene-C in Inductively Coupled O2 Plasma

  • Shutov, D.A.;Kim, Sung-Ihl;Kwon, Kwang-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • 제9권4호
    • /
    • pp.156-162
    • /
    • 2008
  • We report results on a study of inductively coupled plasma (ICP) etching of Parylene-C (poly-monochloro-para-xylylene) films using an $O_2$ gas. Effects of process parameters on etch rates were investigated and are discussed in this article from the standpoint of plasma parameter measurements, performed using a Langmuir probe and modeling calculation. Process parameters of interest include ICP source power and pressure. It was shown that major etching agent of polymer films was oxygen atoms O($^3P$). At the same time it was proposed that positive ions were not effective etchant, but ions played an important role as effective channel of energy transfer from plasma towards the polymer.

Development of intregrated process control system for plasma etching utilizing neural network and genetic algorithm

  • Koh, Taek-Beom;Cha, Sang-Yeob;Woo, Kwang-Bang;Moon, Dae-Sik;Kwak, Kyu-Hwao;Chang, Ho-Seung
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1995년도 Proceedings of the Korea Automation Control Conference, 10th (KACC); Seoul, Korea; 23-25 Oct. 1995
    • /
    • pp.252-258
    • /
    • 1995
  • The purpose of this study is to provide the integrated process control system, utilizing neural network modeling, to search for the appropriate choice input, and to keep the process output within the desired rang in the real etch process.

  • PDF

디스플레이 유리의 눈부심 방지 표면처리를 위한 샌드 블래스팅 공정의 모형화 (Modeling of Sand Blasting Process for Anti-Glare Surface Treatment of Display Glass)

  • 민철홍;김태선
    • 한국표면공학회지
    • /
    • 제51권5호
    • /
    • pp.303-308
    • /
    • 2018
  • Currently hydrofluoric acid (HF) based glass etch method is widely used for anti-glare (AG) surface treatment since it can effectively alleviate the specular reflection problem with relatively low processing cost. However, due to the environmental regulation and safety problem, it is essential to develop alternative technology to replace this method. For this, in this paper, we propose sand blasting based AG surface treatment method for display glass. To characterize the sand blasting process, surface roughness, haze, surface durability, and flatness are considered as process outputs and central composite design (CCD) method and response surface model (RSM) method are applied to model each process output. Models for surface roughness and haze showed 96.44% and 97.24% of R-squared values, respectively and they can be applied to optimize AG surface treatment process for various haze level requirements of display industries.