• Title/Summary/Keyword: Etch Profile

Search Result 144, Processing Time 0.057 seconds

Plasma Etching Characteristics of Sapphire Substrate using $BCl_3$-based Inductively Coupled Plasma ($BCl_3$ 계열 유도결합 플라즈마를 이용한 사파이어 기판의 식각 특성)

  • Kim, Dong-Pyo;Woo, Jong-Chang;Um, Doo-Seng;Yang, Xue;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.363-363
    • /
    • 2008
  • The development of dry etching process for sapphire wafer with plasma has been key issues for the opto-electric devices. The challenges are increasing control and obtaining low plasma induced-damage because an unwanted scattering of radiation is caused by the spatial disorder of pattern and variation of surface roughness. The plasma-induced damages during plasma etching process can be classified as impurity contamination of residual etch products or bonding disruption in lattice due to charged particle bombardment. Therefor, fine pattern technology with low damaged etching process and high etch rate are urgently needed. Until now, there are a lot of reports on the etching of sapphire wafer with using $Cl_2$/Ar, $BCl_3$/Ar, HBr/Ar and so on [1]. However, the etch behavior of sapphire wafer have investigated with variation of only one parameter while other parameters are fixed. In this study, we investigated the effect of pressure and other parameters on the etch rate and the selectivity. We selected $BCl_3$ as an etch ant because $BCl_3$ plasmas are widely used in etching process of oxide materials. In plasma, the $BCl_3$ molecule can be dissociated into B radical, $B^+$ ion, Cl radical and $Cl^+$ ion. However, the $BCl_3$ molecule can be dissociated into B radical or $B^+$ ion easier than Cl radical or $Cl^+$ ion. First, we evaluated the etch behaviors of sapphire wafer in $BCl_3$/additive gases (Ar, $N_2,Cl_2$) gases. The behavior of etch rate of sapphire substrate was monitored as a function of additive gas ratio to $BCl_3$ based plasma, total flow rate, r.f. power, d.c. bias under different pressures of 5 mTorr, 10 mTorr, 20 mTorr and 30 mTorr. The etch rates of sapphire wafer, $SiO_2$ and PR were measured with using alpha step surface profiler. In order to understand the changes of radicals, volume density of Cl, B radical and BCl molecule were investigated with optical emission spectroscopy (OES). The chemical states of $Al_2O_3$ thin films were studied with energy dispersive X-ray (EDX) and depth profile anlysis of auger electron spectroscopy (AES). The enhancement of sapphire substrate can be explained by the reactive ion etching mechanism with the competition of the formation of volatile $AlCl_3$, $Al_2Cl_6$ or $BOCl_3$ and the sputter effect by energetic ions.

  • PDF

60 MHz/2 MHz Dual-Frequency Capacitive Coupled Plasma에서 Pulse-Time Modulation을 이용한 $SiO_2$의 식각특성

  • Kim, Hoe-Jun;Jeon, Min-Hwan;Yang, Gyeong-Chae;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.307-307
    • /
    • 2013
  • 초고집적 회로에 적용되는 반도체 소자의critical dimension (CD)이 수 nano 사이즈로 줄어들고 있기 때문에, 다양한 물질의 식각을 할 때, 건식식각의 중요성이 더 강조되고 있다. 특히 $SiO_2$와 같은 유전체 물질을 식각할 때, plasma process induced damages (P2IDs)가 관찰되어 왔고, 이러한 P2IDs를 줄이기 위해, pulsed-time modulation plasma가 광범위하게 연구되어 왔다. Pulsed plasma는 정기적으로 radio frequency (RF) power on과 off를 반복하여 rf power가 off된 동안, 평균전자 온도를 낮춤으로써, 웨이퍼로 입사되는 전하 축적을 효과적으로 줄일 수 있다. 또한 fluorocarbon plasmas를 사용하여 $SiO_2$를 식각하기 위해 Dual-Frequency Capacitive coupled plasma (DF-CCP)도 널리 연구되어 왔는데, 이것은 기존의 방법과는 다르게 plasma 밀도와 ion bombardment energy를 독립적으로 조절 가능하다는 장점이 있어서 미세 패턴을 식각할 때 효과적이다. 본 연구에서는 Source power에는 60 MHz pulsed radio frequency (RF)를, bias power에는 2 MHz continuous wave (CW) rf power가 사용된 system에서 Ar/$C_4$ F8/$O_2$ 가스 조합으로, amorphous carbon layer (ACL)가 hard mask로 사용된 $SiO_2$를 식각했다. 그리고 source pulse의 duty ratio와 pulse frequency의 효과에 따른 $SiO_2$의 식각특성을 연구하였다. 그 결과, duty ratio의 감소에 따라 $SiO_2$, ACL의 etch rate이 감소했지만, $SiO_2$/ACL의 etch selectivity는 증가하였다. 반면에 pulse frequency의 변화에 따른 두 물질의 etch selectivity는 크게 변화가 없었다. 그 이유는 pulse 조건인 duty ratio의 감소가 전자 온도 및 전자 에너지를 낮춰 $C_2F8$가스의 분해를 감소시켰으며, 이로 인해 식각된 $SiO_2$의 surface와 sidewall에 fluorocarbon polymer의 형성이 증가하였기 때문이다. 또한 duty ratio의 감소에 따라 etch selectivity뿐만 아니라 etch profile까지 향상되는 것을 확인할 수 있었다.

  • PDF

The Development of Cl-Plasma Etching Procedure for Si and SiO$_2$

  • Kim, Jong-Woo;Jung, Mi-Young;Park, Sung-Soo;Boo, Jin-Hyo
    • Journal of the Korean institute of surface engineering
    • /
    • v.34 no.5
    • /
    • pp.516-521
    • /
    • 2001
  • Dry etching of Si wafer and $SiO_2$ layers was performed using He/Cl$_2$ mixture plasma by diode-type reactive ion etcher (RIE) system. For Si etching, the Cl molecules react with the Si molecules on the surface and become chemically stable, indicating that the reactants need energetic ion bombardment. During the ion assisted desorption, energetic ions would damage the photoresist (PR) and produce the bad etch Si-profile. Moreover, we have examined the characteristics of the Cl-Si reaction system, and developed the new fabrication procedures with a $Cl_2$/He mixture for Si and $SiO_2$-etching. The developed novel fabrication procedure allows the RIE to be unexpensive and useful a Si deep etching system. Since the etch rate was proved to increase linearly with fHe and the selectivity of Si to $SiO_2$ etch rate was observed to be inversely proportional to fHe.

  • PDF

Properties of AlSi etching using the MERIE type reactor (MERIE형 반응로를 이용한 AlSi의 식각 특성)

  • 김창일;김태형;장의구
    • Electrical & Electronic Materials
    • /
    • v.9 no.2
    • /
    • pp.188-195
    • /
    • 1996
  • The AlSi etching process using the MERIE type reactor carried out with different process parameters such as C1$_{2}$ and N$_{2}$ gas flow rate, RF power and chamber pressure. The etching characteristics were evaluated in terms of etch rate, selectivity, uniformity and etched profile. As the N2 gas flow rate is increased, the AlSi etch rate is decreased and uniformity has remained constant within .+-.5%. The etch rate is increased and uniformity is decreased, according to increment of the C1$_{2}$ gas flow rate, RF power and chamber pressure. Selective etching of TEOS with respect to AlSi is decreased as the RF power is increased while it is increased by increment of the C1$_{2}$ gas flow rate and chamber pressure, on the other hand, selective etching of photoresist with respect to AlSi is increased by increment of the C1$_{2}$ gas flow rate and chamber pressure, it is decreased as the N$_{2}$ gas flow rate is increased.

  • PDF

A Study of the Etched ZnO Thin Films Surface by Reactive Ion in the Cl2/BCl3/Ar Plasma (Cl2/BCl3/Ar 플라즈마에서 반응성 이온들에 의해 식각된 ZnO 박막 표면 연구)

  • Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.10
    • /
    • pp.747-751
    • /
    • 2010
  • In the study, the characteristics of the etched Zinc oxide (ZnO) thin films surface, the etch rate of ZnO thin film in $Cl_2/BCl_3/Ar$ plasma was investigated. The maximum ZnO etch rate of 53 nm/min was obtained for $Cl_2/BCl_3/Ar$=3:16:4 sccm gas mixture. According to the x-ray diffraction (XRD) and atomic force microscopy (AFM), the etched ZnO thin film was investigated to the chemical reaction of the ZnO surface in $Cl_2/BCl_3/Ar$ plasma. The field emission auger electron spectroscopy (FE-AES) analysis showed an elemental analysis from the etched surfaces. According to the etching time, the ZnO thin film of etched was obtained to The AES depth-profile analysis. We used to atomic force microscopy to determine the roughness of the surface. So, the root mean square of ZnO thin film was 17.02 in $Cl_2/BCl_3/Ar$ plasma. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas.

The study on the dry etching characteristics of $CeO_2$ thin films ($CeO_2$ 박막의 건식 식각 특성 연구)

  • Oh, Chang-Seck;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.05b
    • /
    • pp.84-87
    • /
    • 2001
  • In this study, $CeO_2$ thin films were etched with a $CF_4/Ar$ gas combination in inductively coupled plasma (ICP), The maximum etch rate of $CeO_2$ thin films is $270{\AA}/min$under $CF_4/(CF_4+Ar)$ of 0.2, 600 W/-200 V, 15 mTorr, and $25^{\circ}C$. The selectivities of $CeO_2$ to PR and SBT are 0.21, 0.25. respectively. The surface reaction of the etched $CeO_2$ thin films was investigated with x-ray photoelectron spectroscopy (XPS). There is a chemical reaction between Ce and F, Compounds such as $Ce-F_x$ are remains on the surface of $CeO_2$ thin films. Those products can be removed by Ar ion bombardment effect, The results of secondary ion mass spectrometer (SIMS) were equal to these of XPS. Scanning electron microscopy (SEM) was used to examine etched profiles of $Ce-F_x$ thin films. The etch profile of over-etched $CeO_2$ films with the $0.5 {\mu}m$ line was approximately $65^{\circ}$.

  • PDF

Etching Characteristics of Polyctystalline 3C-SiC Thin Films by Magnetron Reactive Ion Etching (마그네트론 RIE를 이용한 다결정 3C-SiC의 식각 특성)

  • Ohn, Chang-Min;Kim, Gwiy-Yeal;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.331-332
    • /
    • 2007
  • Surface micromachined SiC devices have readily been fabricated from the polycrystalline (poly) 3C-SiC thin film which has an advantage of being deposited onto $SiO_2$ or $Si_3N_4$ as a sacrificial layer. Therefore, in this work, magnetron reactive ion etching process which can stably etch poly 3C-SiC thin films grown on $SiO_2$/Si substrate at a lower energy (70 W) with $CHF_3$ based gas mixtures has been studied. We have investigated the etching properties of the poly 3C-SiC thin film using PR/Al mask, according to $O_2$ flow rate, pressure, RF power, and electrode gap. The etched RMS (root mean square), etch rate, and etch profile of the poly 3C-SiC thin films were analyzed by SEM, AFM, and $\alpha$-step.

  • PDF

Effect of CF4 Addition on Ferroelectric YMnO3Thin Film Etching (강유전체 YMnO3 박막 식각에 대한 CF4첨가효과)

  • 박재화;김경태;김창일;장의구;이철인
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.4
    • /
    • pp.314-318
    • /
    • 2002
  • The etching behaviors of the ferroelectric $YMnO_3$ thin films were studied by an inductively coupled plasma (ICP). The maximum etch rate of $YMnO_3$ thin film is 300 ${\AA}/min$ at Ar/$Cl_2$of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of $30^{\circ}C$. Addition of $CF_4$ gas decrease the etch rate of $YMnO_3$ thin film. From the results of XPS analysis, nonvolatile $YF_x$ compounds were found on the surface of $YMnO_3$ thin film which is etched in Ar/$Cl_2$/CF$_4$plasma. The etch profile of YMnO$_3$film is improved by addition of $CF_4$ gas into the Ar/$Cl_2$ plasma. These results suggest that YF$_{x}$ compound acts as a sidewall passivants which reduce the sticking coefficient of chlorine on $YMnO_3$.

Silicon trench etching using inductively coupled Cl2/O2 and Cl2/N2 plasmas

  • Kim, Hyeon-Soo;Lee, Young-Jun;Young, Yeom-Geun
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.2 no.2
    • /
    • pp.122-132
    • /
    • 1998
  • Characteristics of inductively coupled Cl2/O2 and Cl2/N2 plasmas and their effects on the formation of submicron deep trench etching of single crystal silicon have been investigated using Langmuir probe, quadrupole mass spectrometer (QMS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM), Also, when silicon is etched with oxygen added chlorine plasmas, etch products recombined with oxygen such as SiClxOy emerged and Si-O bondings were found on the etched silicon surface. However, when nitrogen is added to chlorine, no etch products recombined with nitrogen nor Si-N bondings were found on the etched silicon surface. When deep silicon trenches were teached, the characteristics of Cl2/O2 and Cl2/N2 plasmas changed the thickness of the sidewall residue (passivation layer) and the etch profile. Vertical deep submicron trench profiles having the aspect ratio higher than 5 could be obtained by controlling the thickness of the residue formed on the trench sidewall using Cl2(O2/N2) plasmas.

  • PDF

Etching Characteristics of Polyimide Film as Interlayer Dielectric Using Inductively Coupled ($O_2/CF_4$)Plasma ($O_2/CF_4$ 유도결합 플라즈마를 이용한 Polyimide 박막의 식각 특성)

  • Kang, Pil-Seung;Kim, Chang-Il
    • Proceedings of the KIEE Conference
    • /
    • 2001.07c
    • /
    • pp.1509-1511
    • /
    • 2001
  • In this study, etching characteristics of polyimide(Pl) film with $O_2/CF_4$ gas mixing ratio was studied using inductively coupled plasma (ICP). The etch rate and selectivity were evaluated to chamber pressure and gas mixing ratio. High etch rate (over 8000$\AA$/min) and vertical profile were acquired in $CF_4$/($CF_4+O_2$) of 0.2. The selectivities of polyimide to PR and polyimide to $SiO_2$ were 1.15, 5.85, respectively. The profiles of polyimide film etched in $CF_4/O_2$ were measured by a scanning electron microscope (SEM) with using an aluminum hard mask pattern. The chemical states on the polyimide film surface were measured by x-ray photoelectron spectroscopy (XPS).

  • PDF