• Title/Summary/Keyword: Epoxy Mold Compound

Search Result 11, Processing Time 0.026 seconds

A Study on the Molding Analysis of IC Package in Transfer mold (트랜스퍼 금형에 있어서 IC 폐키지의 성형 유동 해석에 관한 연구)

  • 구본권
    • Proceedings of the Korean Society of Machine Tool Engineers Conference
    • /
    • 1995.10a
    • /
    • pp.64-67
    • /
    • 1995
  • Transfer Molding is currently the most widely used process for encapsulation integrated circuits(;IC). Although the process has been introduced over 20 years ago, generating billions of parts each year, it is far from being optimized. With each new mold, epoxy mold, epoxy mold compound, and lead-frame, lengthy period and expensive qualification runs have to be performed to minimized defects ranging from wire sweep, incomplete fill, and internal voids etc. This studies describes how simulation can be applied to transfer molding to yield acceptable design and processing parameter. The non-isothermal filling of non-newtonian reactive epoxy molding compound(;EMC) in a multi-cavity mold is analyzed. Sensitivity analysis is conducted to investigate the influence of process deviations on the final molded profile. This study trend is carried out by following some heuristic process guidelines.

  • PDF

The Applied Technology of Mold Transformer for Outdoor Use (옥외 주상용 몰드 변압기인 적용 기술)

  • Han, Se-Won;Cho, Han-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.05a
    • /
    • pp.23-28
    • /
    • 2000
  • Insulator systems using resin casting apparatus are useful to realize the high capacity, small size, and combined electric power equipments, and are expected to bring various advantages. In Korea, epoxy resin molded apparatus have been restricted to indoor uses till now. On the other hand, in Europe, molded insulators and transformers and so forth have been practically used since the former half of 1960's. Recently, investigation on epoxy resin materials and molded apparatus for outdoor use have ben intensively carried out. Probably, this is because electric power outdoor apparatus such as distribution transformer can be given multi-functions by using outdoor epoxy resin compound This study describes the trend on the development of epoxy mold transformers, and important technologies applied for outdoor use.

  • PDF

Failure Paths of Polymer/Roughened Metal Interfaces under Mixed-Mode Loading (혼합 하중하에서의 고분자/거친금속 계면의 파손경로)

  • Lee Ho-Young;Kim Sung-Ryong
    • Korean Journal of Materials Research
    • /
    • v.14 no.5
    • /
    • pp.322-327
    • /
    • 2004
  • Copper-based leadframe sheets were oxidized in two kinds of hot alkaline solutions to form brown-oxide or black-oxide layer on the surface. The oxide coated leadframe sheets were molded with epoxy molding compound (EMC). After post mold curing, the oxide-coated EMC-leadframe joints were machined to form sandwiched Brazil-nut (SBN) specimens. The SBN specimens were used to measure the fracture toughness of the EMC/leadframe interfaces under mixed-mode (mode I + mode II) loading conditions. Fracture surfaces were analyzed by various equipment to investigate failure path. The results revealed that the failure paths were strongly dependent on the oxide type. In case of brown oxide, hackle-type failure was observed and failure path lay near the EMC/CuO interface with a little inclining to CuO at all case. On the other hand, in case of black oxide, quite different failure path was observed with respect to the distance from the tip of pre-crack and phase angle. Different failures occurred with oxide type is presumed to be due to the difference in microstructure of the oxides.

Comparative Study on the Failure of Polymer/Roughened Metal Interfaces under Mode-I Loading I: Experimental Result (인장하중하에서의 고분자/거친금속 계면의 파손에 대한 비교연구 I: 실험결과)

  • Lee Ho-Young;Kim Sung-Ryong
    • Korean Journal of Materials Research
    • /
    • v.15 no.1
    • /
    • pp.1-5
    • /
    • 2005
  • Copper-based leadframe sheets were immersed in two kinds of hot alkaline solutions to form brown-oxide or black-oxide layer on the surface. The oxide-coated leadframe sheets were molded with epoxy molding compound (EMC). After post mold curing, the oxide-coated EMC-leadframe joints were machined to form sandwiched double-cantilever beam (SDCB) specimens. The SDCB specimens were used to measure the fracture toughness of the EMC/leadframe interfaces under quasi-Mode I loading conditions. Fracture surfaces were analyzed by various equipment to investigate failure path. The present paper deals with the failure path, and the cause of the failure path formation with an adhesion model will be treated in the succeeding paper.

Comparative Study on the Failure of Polymer/Roughened Metal Interfaces under Mode-I Loading II: Adhesion Model (인장하중하에서의 고분자/거친금속 계면의 파손에 대한 비교연구 II: 접착모델)

  • Lee Ho-Young;Kim Sung-Ryong
    • Korean Journal of Materials Research
    • /
    • v.15 no.1
    • /
    • pp.6-13
    • /
    • 2005
  • Copper based leadframe sheets were immersed in two kinds of hot alkaline solutions to form brown-oxide or blackoxide layer on the surface. The oxide-coated leadframe sheets were molded with epoxy molding compound (EMC). After post mold curing, the oxide-coated EMC-leadframe joints were machined to form sandwiched double-cantilever beam (SDCB) specimens. The SDCB specimens were used to measure the fracture toughness of the EMC/leadframe interfaces under quasi-Mode I loading conditions. After fracture toughness testing, the fracture surface were analyzed by various equipment to investigate failure path. An adhesion model was suggested to explain the failure path formation. The adhesion model is based on the strengthening mechanism of fiber-reinforced composite. The present paper deals with the introduction of the adhesion model. The explanation of the failure path with the proposed adhesion model was introduced in the companion paper.

Exposure Possibility to By-products during the Processes of Semiconductor Manufacture (반도체 제조 공정에서 발생 가능한 부산물)

  • Park, Seung-Hyun;Shin, Jung-Ah;Park, Hae-Dong
    • Journal of Korean Society of Occupational and Environmental Hygiene
    • /
    • v.22 no.1
    • /
    • pp.52-59
    • /
    • 2012
  • Objectives: The purpose of this study was to evaluate the exposure possibility of by-products during the semiconductor manufacturing processes. Methods: The authors investigated types of chemicals generated during semiconductor manufacturing processes by the qualitative experiment on generation of by-products at the laboratory and a literature survey. Results: By-products due to decomposition of photoresist by UV-light during the photo-lithography process, ionization of arsine during the ion implant process, and inter-reactions of chemicals used at diffusion and deposition processes can be generated in wafer fabrication line. Volatile organic compounds (VOCs) such as benzene and formaldehyde can be generated during the mold process due to decomposition of epoxy molding compound and mold cleaner in semiconductor chip assembly line. Conclusions: Various types of by-products can be generated during the semiconductor manufacturing processes. Therefore, by-products carcinogen such as benzene, formaldehyde, and arsenic as well as chemical substances used during the semiconductor manufacturing processes should be controlled carefully.

Investigation of Adhesion Mechanism at the Metal-Organic Interface Modified by Plasma Part I

  • Sun, Yong-Bin
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.9 no.4
    • /
    • pp.31-34
    • /
    • 2002
  • For the mold die sticking mechanism, the major explanation is that the silica as a filler in EMC (epoxy molding compound) wears die surface to be roughened, which results in increase of adhesion strength. As the sticking behavior, however, showed strong dependency on the EMC models based on the experimental results from different semiconductor manufacturers, chemisorption or acid-base interaction is apt to be also functioning as major mechanisms. In this investigation, the plasma source ion implantation (PSII) using $O_2, N_2$, and $CF_4$ modifies sample surface to form a new dense layer and improve surface hardness, and change metal surface condition from hydrophilic to hydrophobic or vice versa. Through surface energy quantification by measuring contact angle and surface ion coupling state analysis by Auger, major governing mechanism for sticking issue was figured out to be a complex of mechanical and chemical factors.

  • PDF

Numerical Analysis of Warpage and Reliability of Fan-out Wafer Level Package (수치해석을 이용한 팬 아웃 웨이퍼 레벨 패키지의 휨 경향 및 신뢰성 연구)

  • Lee, Mi Kyoung;Jeoung, Jin Wook;Ock, Jin Young;Choa, Sung-Hoon
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.21 no.1
    • /
    • pp.31-39
    • /
    • 2014
  • For mobile application, semiconductor packages are increasingly moving toward high density, miniaturization, lighter and multi-functions. Typical wafer level packages (WLP) is fan-in design, it can not meet high I/O requirement. The fan-out wafer level packages (FOWLPs) with reconfiguration technology have recently emerged as a new WLP technology. In FOWLP, warpage is one of the most critical issues since the thickness of FOWLP is thinner than traditional IC package and warpage of WLP is much larger than the die level package. Warpage affects the throughput and yield of the next manufacturing process as well as wafer handling and fabrication processability. In this study, we investigated the characteristics of warpage and main parameters which affect the warpage deformation of FOWLP using the finite element numerical simulation. In order to minimize the warpage, the characteristics of warpage for various epoxy mold compounds (EMCs) and carrier materials are investigated, and DOE optimization is also performed. In particular, warpage after EMC molding and after carrier detachment process were analyzed respectively. The simulation results indicate that the most influential factor on warpage is CTE of EMC after molding process. EMC material of low CTE and high Tg (glass transition temperature) will reduce the warpage. For carrier material, Alloy42 shows the lowest warpage. Therefore, considering the cost, oxidation and thermal conductivity, Alloy42 or SUS304 is recommend for a carrier material.

Optical Properties of UV LEDs depending on Encapsulate Method using Silicone Encapsulants with Different Refractive Indices (굴절률이 다른 실리콘 봉지재의 봉지 방법에 따른 UV-A LED의 광 특성에 관한 연구)

  • Kim, Wan-Ho;Koo, Dai-Hyoung;Noh, Ju-Hyun;Lee, Kyung-Won;Jeon, Sie-Wook;Kim, Jae-Pil;Yeo, In-Seon
    • Journal of the Korean Institute of Illuminating and Electrical Installation Engineers
    • /
    • v.29 no.3
    • /
    • pp.39-44
    • /
    • 2015
  • Optical characteristics including the radiant flux and viewing angle of UV LEDs were investigated according to both silicone encapsulants with different refractive indexes and lens shapes. Lead frame was fabricated using the enhanced heat dissipation characteristics with a heat slug structure and the reflector based on EMC(Epoxy Mold Compound) material. Four types of lens shapes were designed and their optical characteristics depending on the refractive index of silicone encapsulants were evaluated. The maximum radiant flux can be achieved when the height of lens are 1.32mm and 1.08mm for silicone encapsulants with low and high refractive indexes, respectively. Depending on the encapsulating method, the viewing angle changes from $148.9^{\circ}$ to $130.2^{\circ}$ for low refractive index and from $145.3^{\circ}$ to $136.8^{\circ}$ for high refractive index. As a result, it is found that the optical characteristics of UV LEDs can be controled through both encapsulating method and the refractive index of encapsulants.