• 제목/요약/키워드: Endpoint Detection (EPD)

검색결과 5건 처리시간 0.026초

다중 채널 EPD제어기의 개발 (Development of multiple channel EPD controller)

  • 최순혁;차상엽;이종민;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1997년도 한국자동제어학술회의논문집; 한국전력공사 서울연수원; 17-18 Oct. 1997
    • /
    • pp.1500-1503
    • /
    • 1997
  • In this paper a multiple channel EPD controller is developed which enables us to detect endpoints simultaneously in the plasma etching process operated in multiple etching chambers and its performance characteristic are investigated. for the accurate detectiion of endpoint the developed EDP controller was able to implement endpoint detectiions by integrating the existing EPD controllers with the techiques of artificial intellignet, to enhance its performance. The performance of the developed EPD controller was carried out by repeated experiments of endpoint detection in the acrual production line of semiconductor manufacturing. It's utility for endpoint detectiion was accurately evaluated in various etching process. The control capability of multiple etching chambers enhances its application compared with the existing one, and also increases the user utility os that the efficiency of operation was improved.

  • PDF

Sensitivity Enhancement of RF Plasma Etch Endpoint Detection With K-means Cluster Analysis

  • Lee, Honyoung;Jang, Haegyu;Lee, Hak-Seung;Chae, Heeyeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.142.2-142.2
    • /
    • 2015
  • Plasma etch endpoint detection (EPD) of SiO2 and PR layer is demonstrated by plasma impedance monitoring in this work. Plasma etching process is the core process for making fine pattern devices in semiconductor fabrication, and the etching endpoint detection is one of the essential FDC (Fault Detection and Classification) for yield management and mass production. In general, Optical emission spectrocopy (OES) has been used to detect endpoint because OES can be a simple, non-invasive and real-time plasma monitoring tool. In OES, the trend of a few sensitive wavelengths is traced. However, in case of small-open area etch endpoint detection (ex. contact etch), it is at the boundary of the detection limit because of weak signal intensities of reaction reactants and products. Furthemore, the various materials covering the wafer such as photoresist (PR), dielectric materials, and metals make the analysis of OES signals complicated. In this study, full spectra of optical emission signals were collected and the data were analyzed by a data-mining approach, modified K-means cluster analysis. The K-means cluster analysis is modified suitably to analyze a thousand of wavelength variables from OES. This technique can improve the sensitivity of EPD for small area oxide layer etching processes: about 1.0 % oxide area. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as EPD.

  • PDF

플라즈마 식각 공정에서 의사결정 알고리즘을 이용한 실시간 식각 종료점 검출 (Real Time Endpoint Detection in Plasma Etching Using Decision Making Algorithm)

  • 노호택;박영국;한승수
    • 전기전자학회논문지
    • /
    • 제20권1호
    • /
    • pp.9-15
    • /
    • 2016
  • 플라즈마 식각 공정에서 식각 종료점 검출은 중요한 요소이다. Optical Emission Spectroscopy (OES) 는 플라즈마 반응을 분석하는데 사용한다. 그리고 Plasma Impedance Monitoring (PIM) 은 플라즈마 공정 중에 RF power에 의한 voltage, current, power, impedance를 분석하는데 사용한다. 본 논문에서는 새로 제안하는 의사결정 알고리즘을 이용하여 single layer 산화막 플라즈마 식각에서 식각 종료점 검출의 성능을 향상시키는 것을 제안한다. 식각 종료점 검출의 정확도를 높이기 위해 OES 데이터와 PIM 데이터들을 의사결정 알고리즘에 모두 적용하여 사용한다. 제안된 방법은 SiOx 플라즈마 식각에서 식각 종료점을 정확하게 검출한다.

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

Data Qualification of Optical Emission Spectroscopy Spectra in Resist/Nitride/Oxide Etch: Coupon vs. Whole Wafer Etching

  • Kang, Dong-Hyun;Pak, Soo-Kyung;Park, George O.;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.433-433
    • /
    • 2012
  • As the requirement in patterning geometry continuously shrinks down, the termination of etch process at the exact time became crucial for the success in nano patterning technology. By virtue of real-time optical emission spectroscopy (OES), etch end point detection (EPD) technique continuously develops; however, it also faced with difficulty in low open ratio etching, typically in self aligned contact (SAC) and one cylinder contact (OCS), because of very small amount of optical emission from by-product gas species in the bulk plasma glow discharge. In developing etching process, one may observe that coupon test is being performed. It consumes costs and time for preparing the patterned sample wafers every test in priority, so the coupon wafer test instead of the whole patterned wafer is beneficial for testing and developing etch process condition. We also can observe that etch open area is varied with the number of coupons on a dummy wafer. However, this can be a misleading in OES study. If the coupon wafer test are monitored using OES, we can conjecture the endpoint by experienced method, but considering by data, the materials for residual area by being etched open area are needed to consider. In this research, we compare and analysis the OES data for coupon wafer test results for monitoring about the conditions that the areas except the patterns on the coupon wafers for real-time process monitoring. In this research, we compared two cases, first one is etching the coupon wafers attached on the carrier wafer that is covered by the photoresist, and other case is etching the coupon wafers on the chuck. For comparing the emission intensity, we chose the four chemical species (SiF2, N2, CO, CN), and for comparing the etched profile, measured by scanning electron microscope (SEM). In addition, we adopted the Dynamic Time Warping (DTW) algorithm for analyzing the chose OES data patterns, and analysis the covariance and coefficient for statistical method. After the result, coupon wafers are over-etched for without carrier wafer groups, while with carrier wafer groups are under-etched. And the CN emission intensity has significant difference compare with OES raw data. Based on these results, it necessary to reasonable analysis of the OES data to adopt the pre-data processing and algorithms, and the result will influence the reliability for relation of coupon wafer test and whole wafer test.

  • PDF