• 제목/요약/키워드: Deep Etching

검색결과 134건 처리시간 0.03초

Dual Surface Modifications of Silicon Surfaces for Tribological Application in MEMS

  • Pham, Duc-Cuong;Singh, R. Arvind;Yoon, Eui-Sung
    • KSTLE International Journal
    • /
    • 제8권2호
    • /
    • pp.26-28
    • /
    • 2007
  • Si(100) surfaces were topographically modified i.e. the surfaces were patterned at micro-scale using photolithography and DRIE (Deep Reactive Ion Etching) fabrication techniques. The patterned shapes included micro-pillars and microchannels. After the fabrication of the patterns, the patterned surfaces were chemically modified by coating a thin DLC film. The surfaces were then evaluated for their friction behavior at micro-scale in comparison with those of bare Si(100) flat, DLC coated Si(100) flat and uncoated patterned surfaces. Experimental results showed that the chemically treated (DLC coated) patterned surfaces exhibited the lowest values of coefficient of friction when compared to the rest of the surfaces. This indicates that a combination of both the topographical and chemical modification is very effective in reducing the friction property. Combined surface treatments such as these could be useful for tribological applications in miniaturized devices such as Micro-Electro-Mechanical-Systems (MEMS).

광섬유를 이용한 미세 광 기계식 가속도 센서의 개발 (Development of Micro-opto-mechanical Accelerometer using Optical fiber)

  • 이승재
    • 한국기계기술학회지
    • /
    • 제13권4호
    • /
    • pp.93-99
    • /
    • 2011
  • This paper presents a new type of optical silicon accelerometer using deep reactive ion etching (DRIE) and micro-stereolithography technology. Optical silicon accelerometer is based on a mass suspended by four vertical beams. A vertical shutter at the end of the mass can only moves along the sensing axis in the optical path between two single-mode optical fibers. The shutter modulates intensity of light from a laser diode reaching a photo detector. With the DRIE technique for (100) silicon, it is possible to etch a vertical shutter and beam. This ensures low sensitivity to accelerations that are not along the sensing axis. The microstructure for sensor packaging and optical fiber fixing was fabricated using micro stereolithography technology. Designed sensors are two types and each resonant frequency is about 15 kHz and 5 kHz.

실리콘 마이크로머시닝을 이용한 플래퍼-노즐 밸브의 제작 및 특성 실험 (Flapper-nozzle Valve Fabrication Using Silicon Micromachining and Flow Characterization)

  • 권영신;김태현;조동일
    • 센서학회지
    • /
    • 제6권1호
    • /
    • pp.72-80
    • /
    • 1997
  • 실리콘 공정을 이용하여 마이크로 밸브(valve)를 제작할 때에 역방향 누설 유량은 중요한 문제중 하나이다. 본 논문에서는 플래퍼(flapper)와 노즐(nozzle)을 이용하여 누설 유량이 없는 마이크로 밸브를 설계하고, 실리콘 공정을 이용하여 제작하였다. 제작된 마이크로 밸브의 작동원리는 정방향 압력이 가해질 때에는 유체의 압력이 플래퍼를 위로 밀어서 유체를 흐르게 하고, 역방향 압력이 가해질 때에는 플래퍼를 밀지만 이때는 노즐의 입구에 의해 지지되므로 플래퍼나 노즐이 깨지지 않는 한 유체가 흐를 수 없게 된다. 노즐은 (100) 웨이퍼를 습식식각하여 제작하였고, 플래퍼는 역형상을 $20{\mu}m$만큼 플라즈마 식각장비(RIE)로 수직 식각한 뒤 뒷면에서부터 습식식각을 하여 제작하였다. 제작된 마이크로 플래퍼-노즐 밸브의 정적특성을 해석하였고, 순수를 사용한 실험결과와 비교하였다. 실험결과는 제작된 마이크로 플래퍼-노즐 밸브가 완전한 다이오드적인 특성을 가진다는 것을 보여 주었다.

  • PDF

The role of EL2 in the infrared transmission images of defects in semi-insulating GaAs

  • Kang, Seong-Jun;Lee, Sung-Seok
    • Journal of information and communication convergence engineering
    • /
    • 제9권6호
    • /
    • pp.725-728
    • /
    • 2011
  • Infrared transmission images from GaAs semi insulating wafers were considered for years as directly related to the quantum absorption by electrons on fundamental states of deep centers, especially EL2. The satisfying correspondence of these images with the dislocations revealed by etching or X ray topography or infrared tomography led to the opinion that a strong concentration of EL2 centers was to be expected in the immediate vicinity of the dislocations. More recent work indicates that contrary to the expected behavior the photoqu$\acute{e}$nching of transmission images at T=80K does not appreciably change the image structure itself but more largely the uniform background level of absorption. Such investigations show that the transmission images of isolated dislocations (Indium doped materials) or cell structures of tangled dislocations (undoped materials) can be partly attributed to scattered light; similar operation at T=10K removes the dark features associated to EL2 but still preserves the skeleton of the pattern which is due to scattering. A result of the measurements is that dislocations must not be considered any longer as inexhaustive EL2 reservoirs. The lifetime of the photoqu$\acute{e}$nching mechanism is shown to vary differently for EL2 centers located close to the dislocations or in the matrix. In this paper we will develop the details of infrared image photoqu$\acute{e}$nching experiments in the vicinity of dislocations; undoped and In doped GaAs materials will be shown. These results will be discussed in the light of surface etching experiments.

Fabrication of Hierarchical Nanostructures Using Vacuum Cluster System

  • Lee, Jun-Young;Yeo, Jong-Souk
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.389-390
    • /
    • 2012
  • In this study, we fabricate a superhydrophobic surface made of hierarchical nanostructures that combine wax crystalline structure with moth-eye structure using vacuum cluster system and measure their hydrophobicity and durability. Since the lotus effect was found, much work has been done on studying self-cleaning surface for decades. The surface of lotus leaf consists of multi-level layers of micro scale papillose epidermal cells and epicuticular wax crystalloids [1]. This hierarchical structure has superhydrophobic property because the sufficiently rough surface allows air pockets to form easily below the liquid, the so-called Cassie state, so that the relatively small area of water/solid interface makes the energetic cost associated with corresponding water/air interfaces smaller than the energy gained [2]. Various nanostructures have been reported for fabricating the self-cleaning surface but in general, they have the problem of low durability. More than two nanostructures on a surface can be integrated together to increase hydrophobicity and durability of the surface as in the lotus leaf [3,5]. As one of the bio-inspired nanostructures, we introduce a hierarchical nanostructure fabricated with a high vacuum cluster system. A hierarchical nanostructure is a combination of moth-eye structure with an average pitch of 300 nm and height of 700 nm, and the wax crystalline structure with an average width and height of 200 nm. The moth-eye structure is fabricated with deep reactive ion etching (DRIE) process. $SiO_2$ layer is initially deposited on a glass substrate using PECVD in the cluster system. Then, Au seed layer is deposited for a few second using DC sputtering process to provide stochastic mask for etching the underlying $SiO_2$ layer with ICP-RIE so that moth-eye structure can be fabricated. Additionally, n-hexatriacontane paraffin wax ($C_{36}H_{74}$) is deposited on the moth-eye structure in a thermal evaporator and self-recrystallized at $40^{\circ}C$ for 4h [4]. All of steps are conducted utilizing vacuum cluster system to minimize the contamination. The water contact angles are measured by tensiometer. The morphology of the surface is characterized using SEM and AFM and the reflectance is measured by spectrophotometer.

  • PDF

Damage on the Surface of Zinc Oxide Thin Films Etched in Cl-based Gas Chemistry

  • Woo, Jong-Chang;Ha, Tae-Kyung;Li, Chen;Kim, Seung-Han;Park, Jung-Soo;Heo, Kyung-Mu;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제12권2호
    • /
    • pp.51-55
    • /
    • 2011
  • We investigated the etching characteristics of zinc oxide (ZnO) thin films deposited by the atomic layer deposition method. The gases of the inductively coupled plasma chemistry consisted of $Cl_2$, Ar, and $O_2$. The maximum etch rate was 40.3 nm/min at a gas flow ratio of $Cl_2$/Ar=15:5 sccm, radio-frequency power of 600 W, bias power of 200 W, and process pressure of 2 Pa. We also investigated the plasma induced damage in the etched ZnO thin films using X-ray diffraction (XRD), atomic force microscopy and photoluminescence (PL). A highly oriented (100) peak was present in the XRD spectroscopy of the ZnO samples. The full width at half maximum value of the ZnO sample etched using the $O_2/Cl_2$/Ar chemistry was higher than that of the as-deposited sample. The roughness of the ZnO thin films increased from 1.91 nm to 2.45 nm after etching in the $O_2/Cl_2$/Ar plasma chemistry. Also, we obtained a strong band edge emission at 380 nm. The intensities of the peaks in the PL spectra from the samples etched in all of the chemistries were increased. However, there was no deep level emission.

Wet chemistry damage가 Nanopatterned p-ohmic electrode의 전기적/구조적 특성에 미치는 영향 (Influence of Wet Chemistry Damage on the Electrical and Structural Properties in the Wet Chemistry-Assisted Nanopatterned Ohmic Electrode)

  • 이영민;남효덕;장자순;김상묵;백종협
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.150-150
    • /
    • 2008
  • 본 연구에서는 Wet chemistry damage가 Nanopatterned p-ohmic electrode에 미치는 영향을 연구하였다. Nanopattern은 Metal clustering을 이용하여, P-GaN와 Ohmic형성에 유리한 Pd을 50$\AA$ 적층한 후 Rapid Thermal Annealing방법으로 $850^{\circ}C$, $N_2$분위기에서 3min열처리를 하여 Pd Clustering mask 를 제작하였다. Wet etching은 $85^{\circ}C$, $H_3PO_4$조건에서 시간에 따라 Sample을 Dipping하는 방법으로 시행하였다 Ohmic test를 위해서 Circular - Transmission line Model 방법을 이용하였으며, Atomic Force Microscopy과 Parameter Analyzer로 Nanopatterned GaN surface위에 형성된 Ni/ Au Contact에서의 전기적 분석과, 표면구조분석을 시행하였다. AFM결과 Wet처리시간에 따라서 Etching형상 및 Etch rate이 영향을 받는 것이 확인되었고, Ohmic test에서 Wet chemistry처리에 의한 Tunneling parameter와 Schottky Barrier Height가 크게 증/감함을 관찰하였다. 이러한 결과들은 Wet처리에 의해서 발생된 Defect가 GaN의 표면과 하부에서 발생되며, Deep acceptor trap 및 transfer거동과 밀접한 관련이 있음을 확인 할 수 있었다. 보다 자세한 Transport 및 Wet chemical처리영향에 관한 형성 Mechanism은 후에 I-V-T, I-V, C-V, AFM결과 들을 활용하여 발표할 예정이다.

  • PDF

블록 공중합체 박막을 이용한 텅스텐 나노점의 형성 (Fabrication of Tungsten Nano Dot by Using Block Copolymer Thin Film)

  • 강길범;김성일;김영환;박민철;김용태;이창우
    • 마이크로전자및패키징학회지
    • /
    • 제13권3호
    • /
    • pp.13-17
    • /
    • 2006
  • 밀도가 높고 주기적인 배열의 기공과 나노패턴이 된 텅스텐 나노점이 실리콘 산화물/실리콘 기판위에 형성이 되었다. 기공의 지름은 25 nm이고 깊이는 40 nm 이었으며 기공과 기공 사이의 거리는 60 nm이었다. nm 크기의 패턴을 형성시키기 위해서 자기조립물질을 사용했으며 폴리스티렌(PS) 바탕에 벌집형태로 평행하게 배열된 실린더 모양의 폴리메틸메타아크릴레이트(PMMA)의 구조를 형성했다. 폴리메틸메타아크릴레이트를 아세트산으로 제거하여 폴리스티렌만 남아있는 건식 식각용 마스크를 만들었다. 실리콘 산화막은 불소 기반의 화학반응성 식각법을 이용하여 식각했다. nm크기의 트렌치 안에 선택적으로 증착된 텅스텐 나노점을 만들기 위해서 저압화학기상증착(LPCVD)방법을 이용하였다. 텅스텐 나노점과 실리콘 트렌치의 지름은 26 nm 와 30 nm였다.

  • PDF

3차원 실장용 TSV 고속 Cu 충전 및 Non-PR 범핑 (High-Speed Cu Filling into TSV and Non-PR Bumping for 3D Chip Packaging)

  • 홍성철;김원중;정재필
    • 마이크로전자및패키징학회지
    • /
    • 제18권4호
    • /
    • pp.49-53
    • /
    • 2011
  • TSV(through-silicon-via)를 이용한 3차원 Si 칩 패키징 공정 중 전기 도금을 이용한 비아 홀 내 Cu 고속 충전과 범핑 공정 단순화에 관하여 연구하였다. DRIE(deep reactive ion etching)법을 이용하여 TSV를 제조하였으며, 비아홀 내벽에 $SiO_2$, Ti 및 Au 기능 박막층을 형성하였다. 전도성 금속 충전에서는 비아 홀 내 Cu 충전율을 향상시키기 위하여 PPR(periodic-pulse-reverse) 전류 파형을 인가하였으며, 범프 형성 공정에서는 리소그라피(lithography) 공정을 사용하지 않는 non-PR 범핑법으로 Sn-3.5Ag 범프를 형성하였다. 전기 도금 후, 충전된 비아의 단면 및 범프의 외형을 FESEM(field emission scanning electron microscopy)으로 관찰하였다. 그 결과, Cu 충전에서는 -9.66 $mA/cm^2$의 전류밀도에서 60분간의 도금으로 비아 입구의 도금층 과성장에 의한 결함이 발생하였고, -7.71 $mA/cm^2$에서는 비아의 중간 부분에서의 도금층 과성장에 의한 결함이 발생하였다. 또한 결함이 생성된 Cu 충전물 위에 전기 도금을 이용하여 범프를 형성한 결과, 범프의 모양이 불규칙하고, 균일도가 감소함을 나타내었다.

GPU Based Feature Profile Simulation for Deep Contact Hole Etching in Fluorocarbon Plasma

  • Im, Yeon-Ho;Chang, Won-Seok;Choi, Kwang-Sung;Yu, Dong-Hun;Cho, Deog-Gyun;Yook, Yeong-Geun;Chun, Poo-Reum;Lee, Se-A;Kim, Jin-Tae;Kwon, Deuk-Chul;Yoon, Jung-Sik;Kim3, Dae-Woong;You, Shin-Jae
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.80-81
    • /
    • 2012
  • Recently, one of the critical issues in the etching processes of the nanoscale devices is to achieve ultra-high aspect ratio contact (UHARC) profile without anomalous behaviors such as sidewall bowing, and twisting profile. To achieve this goal, the fluorocarbon plasmas with major advantage of the sidewall passivation have been used commonly with numerous additives to obtain the ideal etch profiles. However, they still suffer from formidable challenges such as tight limits of sidewall bowing and controlling the randomly distorted features in nanoscale etching profile. Furthermore, the absence of the available plasma simulation tools has made it difficult to develop revolutionary technologies to overcome these process limitations, including novel plasma chemistries, and plasma sources. As an effort to address these issues, we performed a fluorocarbon surface kinetic modeling based on the experimental plasma diagnostic data for silicon dioxide etching process under inductively coupled C4F6/Ar/O2 plasmas. For this work, the SiO2 etch rates were investigated with bulk plasma diagnostics tools such as Langmuir probe, cutoff probe and Quadruple Mass Spectrometer (QMS). The surface chemistries of the etched samples were measured by X-ray Photoelectron Spectrometer. To measure plasma parameters, the self-cleaned RF Langmuir probe was used for polymer deposition environment on the probe tip and double-checked by the cutoff probe which was known to be a precise plasma diagnostic tool for the electron density measurement. In addition, neutral and ion fluxes from bulk plasma were monitored with appearance methods using QMS signal. Based on these experimental data, we proposed a phenomenological, and realistic two-layer surface reaction model of SiO2 etch process under the overlying polymer passivation layer, considering material balance of deposition and etching through steady-state fluorocarbon layer. The predicted surface reaction modeling results showed good agreement with the experimental data. With the above studies of plasma surface reaction, we have developed a 3D topography simulator using the multi-layer level set algorithm and new memory saving technique, which is suitable in 3D UHARC etch simulation. Ballistic transports of neutral and ion species inside feature profile was considered by deterministic and Monte Carlo methods, respectively. In case of ultra-high aspect ratio contact hole etching, it is already well-known that the huge computational burden is required for realistic consideration of these ballistic transports. To address this issue, the related computational codes were efficiently parallelized for GPU (Graphic Processing Unit) computing, so that the total computation time could be improved more than few hundred times compared to the serial version. Finally, the 3D topography simulator was integrated with ballistic transport module and etch reaction model. Realistic etch-profile simulations with consideration of the sidewall polymer passivation layer were demonstrated.

  • PDF