• 제목/요약/키워드: Cu interconnect

검색결과 84건 처리시간 0.026초

용액공정을 이용한 SiOC/SiO2 박막제조

  • 김영희;김수룡;권우택;이정현;유용현;김형순
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 추계학술발표대회
    • /
    • pp.36.2-36.2
    • /
    • 2009
  • Low dielectric materials have been great attention in the semiconductor industry to develop high performance interlayer dielectrics with low k for Cu interconnect technology. In our study, the dielectric properties of SiOC /SiO2 thin film derived from polyphenylcarbosilane were investigated as a potential interlayer dielectrics for Cu interconnect technology. Polyphenylcarbosilane was synthesized from thermal rearrangement of polymethylphenylsilane around $350^{\circ}C{\sim}430^{\circ}C$. Characterization of synthesized polyphenylcarbosilane was performed with 29Si, 13C, 1H NMR, FT-IR, TG, XRD, GPC and GC analysis. From FT-IR data, the band at 1035 cm-1 is very strong and assigned to CH2 bending vibration in Si-CH2-Si group, indicating the formation of the polyphenylcarbosilane. Number average of molecular weight (Mn) of the polyphenylcarbosilane synthesized at $400^{\circ}C$ for 6hwas 2, 500 and is easily soluble in organic solvent. SiOC/SiO2 thin film was fabricated on ton-type silicon wafer by spin coating using 30wt % polyphenylcarbosilane incyclohexane. Curing of the film was performed in the air up to $400^{\circ}C$ for 2h. The thickness of the film is ranged from $1{\mu}m$ to $1.7{\mu}m$. The dielectric constant was determined from the capacitance data obtained from metal/polyphenylcarbosilane/conductive Si MIM capacitors and show a dielectric constant as low as 2.5 without added porosity. The SiOC /SiO2 thin film derived from polyphenylcarbosilane shows promising application as an interlayer dielectrics for Cu interconnect technology.

  • PDF

IC 배선재료로서 무전해 도금된 Cu 박막층의 열적 안정성 연구 (Thermal Stability of the Electroless-deposited Cu Thin Layer for the IC Interconnect Application)

  • 김정식
    • 마이크로전자및패키징학회지
    • /
    • 제5권1호
    • /
    • pp.111-118
    • /
    • 1998
  • 본 연구에서는 차세대 집적회로 device의 배선재료로서 사용될 가능성이 높은 Cu 금속을 무전해 도금으로 증착시킨 후 집적회로 공정에 필요한 열적 안정성에 대하여 고찰하 였다. MOCVD방법으로 Si 기판위에 TaN 박막을 확산 방지막으로 증착시킨 다음 무전해도 금으로 Cu막을 증착시켜 Cu/TaN/Si 구조의 다층박막을 제조하여 H2 환원 분위기에서 열처 리시킴으로서 열처리 온도에 따른 Cu 박막의 특성과 확산방지막 TaN와의 계면반응 특성에 대하여 고찰하였다. 활성화 처리와 도금용액의 조절을 적절히 행함으로서 MOCVD TaN 박 막위에 적당한 접착력을 지닌 Cu 박막층을 무전해 도금법을 사용하여 성공적으로 증착시킬 수 있었다. XRD, SEM 분석결과에 의하면 H2 환원분위기에서 열처리시켰을겨우 35$0^{\circ}C$~ $600^{\circ}C$ 범위에서 결정립 성장이 일어나 Cu 박막의 미세구조 특성이 개선됨을 알수 있었다. 또한 XRD, AES 분석에 의하여 열처리 온도에 따른 계면반응 상태를 조사해본 결과 $650^{\circ}C$ 온도에서는 Cu 원자가 TaN 확산방지막을 통과하여 Si 기판내로 확산함으로서 계면에서 Cu-Si 중간화합물을 형성하였다.

Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화 (Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process)

  • 유해영;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

Lanthanum Nickelates with a Perovskite Structure as Protective Coatings on Metallic Interconnects for Solid Oxide Fuel Cells

  • Waluyo, Nurhadi S.;Park, Beom-Kyeong;Song, Rak-Hyun;Lee, Seung-Bok;Lim, Tak-Hyoung;Park, Seok-Joo;Lee, Jong-Won
    • 한국세라믹학회지
    • /
    • 제52권5호
    • /
    • pp.344-349
    • /
    • 2015
  • An interconnect is the key component of solid oxide fuel cells that electrically connects unit cells and separates fuel from oxidant in the adjoining cells. To improve their surface stability in high-temperature oxidizing environments, metallic interconnects are usually coated with conductive oxides. In this study, lanthanum nickelates ($LaNiO_3$) with a perovskite structure are synthesized and applied as protective coatings on a metallic interconnect (Crofer 22 APU). The partial substitution of Co, Cu, and Fe for Ni improves electrical conductivity as well as thermal expansion match with the Crofer interconnect. The protective perovskite layers are fabricated on the interconnects by a slurry coating process combined with optimized heat-treatment. The perovskite-coated interconnects show area-specific resistances as low as $16.5-37.5m{\Omega}{\cdot}cm^2$ at $800^{\circ}C$.

신축 전자패키지 배선용 금속박막의 신축변형-저항 특성 II. Au, Pt 및 Cu 박막의 특성 비교 (Stretchable Deformation-Resistance Characteristics of Metal Thin Films for Stretchable Interconnect Applications II. Characteristics Comparison for Au, Pt, and Cu Thin Films)

  • 박동현;오태성
    • 마이크로전자및패키징학회지
    • /
    • 제24권3호
    • /
    • pp.19-26
    • /
    • 2017
  • Polydimethylsiloxane (PDMS) 기판과 금속박막 사이의 중간층으로 parylene F를 사용한 신축패키지 구조에서 Au, Pt, Cu 박막의 신축변형에 따른 저항변화를 분석하였다. Parylene F 중간층을 코팅한 PDMS 기판에 스퍼터링한 150 nm 두께의 Au 박막과 Pt 박막은 각기 $1.56{\Omega}$$5.53{\Omega}$의 초기저항을 나타내었으며, 30% 인장변형률에서 각 박막의 저항증가비 ${\Delta}R/R_o$은 각기 7 및 18로 측정되었다. Cu 박막은 $18.71{\Omega}$의 높은 초기저항을 나타내었으며 인장변형에 따라 저항이 급격히 증가하다 5% 인장변형률에서 open 되어, Au 박막과 Pt 박막에 비해 매우 열등한 신축 특성을 나타내었다.

Cu(dmamb)2 전구체를 이용한 구리박막제조 시 캐리어가스가 박막성장에 미치는 영향

  • 최종문;이도한;진성언;이승무;변동진;정택모;김창균
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 추계학술발표대회
    • /
    • pp.29.2-29.2
    • /
    • 2009
  • 구리는 낮은 비저항, 높은 열전도도, 우수한 electromigration(EM)저항특성 등을 바탕으로 차세대 nano-scale집적회로의 interconnect application에 적합한 금속재료로서 각광받고 있다. copper interconnect는 damascene process 를주로 이용하는데 CVD를 이용하면 step coverage가우수한 seed layer얻을 수 있어 고집적 소자의 구현이 가능하다. 최근에 비 균등화 반응(disproportionationreaction)을 이용하여 고 순도 구리박막을 제조하기위해 $\beta$-diketonate Cu(I) Lewis-base의 전구체를 많이 이용하는데 그중에서 hexafluoroacetylacetonate(hfac)Cu(I)vinyltrimethylsilane (VTMS)가 널리 이용되고 있다. 그러나 (hfac)Cu(I)(VTMS) 또는 유사계열의 전구체들은 열적안정성및 보관안정성이 부족하여 실제 양산공정에 적합하지 못한 단점이 있었다. 본 연구에 이용된 2가 전구체Cu(dmamb)2는 높은 증기압($70^{\circ}C$, 0.9torr)을 가지며 종래에 주로 이용하던 1가 전구체 (hfac)Cu(VTMS)에 비해 높은 활성화 에너지(~113 kJ/mol)를가짐으로서 열적안정성 및 보관안정성이 우수하다. 다른 한편으로 2가전구체는 안정성이 우수한 만큼 낮은 증기압을 극복하기 위해 리간드에 플루오르를 주로 치환하여 증기압을 높이는데 플루오르는 성장하는 박막의 접착력을약하게 하는 단점을 가진다. 하지만 본 연구에 사용된 Cu(dmamb)2는 리간드에 플루오르를 포함하지 않으며, 따라서 고품질의 박막을 용이한성장환경에서 제조할 수 있는 장점들을 제공한다. 비활성가스 분위기에서 2가전구체는 열에너지에 의해 리간드의 자가환원에따라 금속-리간드 분해가 발생한다. 하지만 수소분위기에서는수소가 환원제로 작용하여 리간드의 분해를 용이하게 하는 특징을 가지며 따라서 비활성분위기일 때 비해 낮은 성장온도를 가진다. 또한 수소는 잔류하는 리간드 및 불순물과 결합하여 휘발성화학종들을 생성하여 고순도의 구리박막제조를 가능하게한다.

  • PDF

Cu/Ag 복합판재의 전기/기계적 성질 및 프레스 성형성에 관한 연구 (A study on electrical and mechanical properties and press formability of a Cu/Ag composite sheet)

  • 신제식
    • Design & Manufacturing
    • /
    • 제6권1호
    • /
    • pp.95-100
    • /
    • 2012
  • In this study, a novel Cu composite sheet with embedded high electric conduction path was developed as another alternative for the interconnect materials possessing high electrical conductivity as well as high strength. The Cu composite sheet was fabricated by forming Ag conduction paths not within the interior but on the surface of a high strength Cu substrate by damascene electroplating process. As a result, the electrical conductivity increased by 40% thanks to mesh type Ag conduction paths, while the ultimate tensile strength decreased by 20%. The interfacial fracture resistance of Cu composite sheet prepared by damascene electroplating increased by above 50 times compared to Cu composite sheet by conventional electroplating. For feasibility test for practical application, a leadframe for LED module was manufactured by a progressive blanking and piercing processes, and the blanked surface profile was evaluated as a function of the volume fraction of Ag conduction paths. As Ag conduction path became finer, pressing formability improved.

  • PDF

Self-forming Barrier Process Using Cu Alloy for Cu Interconnect

  • 문대용;한동석;박종완
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.189-190
    • /
    • 2011
  • Cu가 기존 배선물질인 Al을 대체함에 따라 resistance-capacitance (RC) delay나 electromigration (EM) 등의 문제들이 어느 정도 해결되었다. 그러나 지속적인 배선 폭의 감소로 배선의 저항 증가, EM 현상 강화 그리고 stability 악화 등의 문제가 지속적으로 야기되고 있다. 이를 해결하기 위한 방법으로 Cu alloy seed layer를 이용한 barrier 자가형성 공정에 대한 연구를 진행하였다. 이 공정은 Cu 합금을 seed layer로 사용하여 도금을 한 후 열처리를 통해 SiO2와의 계면에서 barrier를 자가 형성시키는 공정이다. 이 공정은 매우 균일하고 얇은 barrier를 형성할 수 있고 별도의 barrier와 glue layer를 형성하지 않아 seed layer를 위한 공간을 추가로 확보할 수 있는 장점을 가지고 있다. 또한, via bottom에 barrier가 형성되지 않아 배선 전체 저항을 급격히 낮출 수 있다. 합금 물질로는 초기 Al이나 Mg에 대한 연구가 진행되었으나, 낮은 oxide formation energy로 인해 SiO2에 과도한 손상을 주는 문제점이 제기되었다. 최근 Mn을 합금 물질로 사용한 안정적인 barrier 형성 공정이 보고 되고 있다. 하지만, barrier 형성을 하기 위해 300도 이상의 열처리 온도가 필요하고 열처리 시간 또한 긴 단점이 있다. 본 실험에서는 co-sputtering system을 사용하여 Cu-V 합금을 형성하였고, barrier를 자가 형성을 위해 300도에서 500도까지 열처리 온도를 변화시키며 1시간 동안 열처리를 실시하였다. Cu-V 공정 조건 확립을 위해 AFM, XRD, 4-point probe system을 이용하여 표면 거칠기, 결정성과 비저항을 평가하였다. Cu-V 박막 내 V의 함량은 V target의 plasma power density를 변화시켜 조절 하였으며 XPS를 통해 분석하였다. 열처리 후 시편의 단면을 TEM으로 분석하여 Cu-V 박막과 SiO2 사이에 interlayer가 형성된 것을 확인 하였으며 EDS를 이용한 element mapping을 통해 Cu-V 내 V의 거동과 interlayer의 성분을 확인하였다. PVD Cu-V 박막은 기판 온도에 큰 영향을 받았고, 200 도 이상에서는 Cu의 높은 표면에너지에 의한 agglomeration 현상으로 거친 표면을 가지는 박막이 형성되었다. 7.61 at.%의 V함량을 가지는 Cu-V 박막을 300도에서 1시간 열처리 한 결과 4.5 nm의 V based oxide interlayer가 형성된 것을 확인하였다. 열처리에 의해 Cu-V 박막 내 V은 SiO2와의 계면과 박막 표면으로 확산하며 oxide를 형성했으며 Cu-V 박막 내 V 함량은 줄어들었다. 300, 400, 500도에서 열처리 한 결과 동일 조성과 열처리 온도에서 Cu-Mn에 의해 형성된 interlayer의 두께 보다 두껍게 성장 했다. 이는 V의 oxide formation nergyrk Mn 보다 작으므로 SiO2와의 계면에서 산화막 형성이 쉽기 때문으로 판단된다. 또한, V+5 이온 반경이 Mn+2 이온 반경보다 작아 oxide 내부에서 확산이 용이하며 oxide 박막 내에 여기되는 전기장이 더 큰 산화수를 가지는 V의 경우 더 크기 때문으로 판단된다.

  • PDF

A bilayer diffusion barrier of atomic layer deposited (ALD)-Ru/ALD-TaCN for direct plating of Cu

  • Kim, Soo-Hyun;Yim, Sung-Soo;Lee, Do-Joong;Kim, Ki-Su;Kim, Hyun-Mi;Kim, Ki-Bum;Sohn, Hyun-Chul
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.239-240
    • /
    • 2008
  • As semiconductor devices are scaled down for better performance and more functionality, the Cu-based interconnects suffer from the increase of the resistivity of the Cu wires. The resistivity increase, which is attributed to the electron scattering from grain boundaries and interfaces, needs to be addressed in order to further scale down semiconductor devices [1]. The increase in the resistivity of the interconnect can be alleviated by increasing the grain size of electroplating (EP)-Cu or by modifying the Cu surface [1]. Another possible solution is to maximize the portion of the EP-Cu volume in the vias or damascene structures with the conformal diffusion barrier and seed layer by optimizing their deposition processes during Cu interconnect fabrication, which are currently ionized physical vapor deposition (IPVD)-based Ta/TaN bilayer and IPVD-Cu, respectively. The use of in-situ etching, during IPVD of the barrier or the seed layer, has been effective in enlarging the trench volume where the Cu is filled, resulting in improved reliability and performance of the Cu-based interconnect. However, the application of IPVD technology is expected to be limited eventually because of poor sidewall step coverage and the narrow top part of the damascene structures. Recently, Ru has been suggested as a diffusion barrier that is compatible with the direct plating of Cu [2-3]. A single-layer diffusion barrier for the direct plating of Cu is desirable to optimize the resistance of the Cu interconnects because it eliminates the Cu-seed layer. However, previous studies have shown that the Ru by itself is not a suitable diffusion barrier for Cu metallization [4-6]. Thus, the diffusion barrier performance of the Ru film should be improved in order for it to be successfully incorporated as a seed layer/barrier layer for the direct plating of Cu. The improvement of its barrier performance, by modifying the Ru microstructure from columnar to amorphous (by incorporating the N into Ru during PVD), has been previously reported [7]. Another approach for improving the barrier performance of the Ru film is to use Ru as a just seed layer and combine it with superior materials to function as a diffusion barrier against the Cu. A RulTaN bilayer prepared by PVD has recently been suggested as a seed layer/diffusion barrier for Cu. This bilayer was stable between the Cu and Si after annealing at $700^{\circ}C$ for I min [8]. Although these reports dealt with the possible applications of Ru for Cu metallization, cases where the Ru film was prepared by atomic layer deposition (ALD) have not been identified. These are important because of ALD's excellent conformality. In this study, a bilayer diffusion barrier of Ru/TaCN prepared by ALD was investigated. As the addition of the third element into the transition metal nitride disrupts the crystal lattice and leads to the formation of a stable ternary amorphous material, as indicated by Nicolet [9], ALD-TaCN is expected to improve the diffusion barrier performance of the ALD-Ru against Cu. Ru was deposited by a sequential supply of bis(ethylcyclopentadienyl)ruthenium [Ru$(EtCp)_2$] and $NH_3$plasma and TaCN by a sequential supply of $(NEt_2)_3Ta=Nbu^t$ (tert-butylimido-trisdiethylamido-tantalum, TBTDET) and $H_2$ plasma. Sheet resistance measurements, X-ray diffractometry (XRD), and Auger electron spectroscopy (AES) analysis showed that the bilayer diffusion barriers of ALD-Ru (12 nm)/ALD-TaCN (2 nm) and ALD-Ru (4nm)/ALD-TaCN (2 nm) prevented the Cu diffusion up to annealing temperatures of 600 and $550^{\circ}C$ for 30 min, respectively. This is found to be due to the excellent diffusion barrier performance of the ALD-TaCN film against the Cu, due to it having an amorphous structure. A 5-nm-thick ALD-TaCN film was even stable up to annealing at $650^{\circ}C$ between Cu and Si. Transmission electron microscopy (TEM) investigation combined with energy dispersive spectroscopy (EDS) analysis revealed that the ALD-Ru/ALD-TaCN diffusion barrier failed by the Cu diffusion through the bilayer into the Si substrate. This is due to the ALD-TaCN interlayer preventing the interfacial reaction between the Ru and Si.

  • PDF

The Characterization of Mn Based Self-forming Barriers on low-k Samples with or without UV Curing Treatment

  • 박재형;한동석;강민수;박종완
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.352.2-352.2
    • /
    • 2014
  • In this present work, we report a Cu-Mn alloy as a materials for the self-forming barrier process. And we investigated diffusion barrier properties of self-formed layer on low-k dielectrics with or without UV curing treatment. Cu alloy films were directly deposited onto low-k dielectrics by co-sputtering, followed by annealing at various temperatures. X-ray diffraction revealed Cu (111), Cu (200) and Cu (220) peaks for both of Cu alloys. The self-formed layers were investigated by transmission electron microscopy. In order to compare barrier properties between Mn-based interlayer interlayer, thermal stability was measured with various low-k dielectrics. X-ray photoelectron spectroscopy analysis showed that chemical compositions of self-formed layer. The compositions of the Mn based self-formed barriers after annealing were determined by the C concentration in the dielectric layers.

  • PDF