• Title/Summary/Keyword: Chemical Polishing

Search Result 584, Processing Time 0.025 seconds

A Study on the Ultra-Precision Polishing Technique for the Upper Surface of the Micro-Channel Structure (미세채널 구조물 상부의 초정밀 연마 기술 연구)

  • 강정일;이윤호;안병운;윤종학
    • Proceedings of the Korean Society of Machine Tool Engineers Conference
    • /
    • 2003.10a
    • /
    • pp.313-317
    • /
    • 2003
  • Micro-Channel ultra-precision polishing is a new technology used in magnetic field-assisted relishing. In this paper, an electromagnet or the i18 of test system was designed and manufactured. A size of magnetic abrasive is used on 25~75${\mu}{\textrm}{m}$ and for the polish a micro-channel upper part. A surface of channel which is not even is manufactured using magnetic abrasive finishing at upper surface of micro-channel. As a result, the surface roughness rose by 80% after upper surface of micro- channel was polished up 8 minutes by polishing.

  • PDF

Characteristics of Surface Morphology and Defects by Polishing Pressure in CMP of BLT Films (BLT 박막의 CMP 공정시 압력에 따른 Surface Morphology 및 Defects 특성)

  • Jung, Pan-Gum;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.10a
    • /
    • pp.101-102
    • /
    • 2006
  • PZT thin films, which are the representative ferroelectric materials in ferroelectric random access memory (FRAM), have some serious problem such as the imprint, retention and fatigue which ferroelectric properties are degraded by repetitive polarization. BL T thin film capacitors were fabricated by plasma etching, however, the plasma etching of BLT thin film was known to be very difficult. In our previous study, the ferroelectric materials such as PZT and BLT were patterned by chemical mechanical polishing (CMP) using damascene process to top electrode/ferroelectric material/bottom electrode. It is also possible to pattern the BLT thin film capacitors by CMP, however, the CMP damage was not considered in the experiments. The properties of BLT thin films were changed by the change of polishing pressure although the removal rate was directly proportional to the polishing pressure in CMP process.

  • PDF

W Chemical Mechanical Polishing (CMP) Characteristics by oxidizer addition (산화제 첨가에 따른 W-CMP 특성)

  • Park, Chang-Jun;Seo, Yong-Jin;Lee, Kyoung-Jin;Jeong, So-Young;Kim, Chul-Bok;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.46-49
    • /
    • 2003
  • Chemical mechanical polishing (CMP) is an essential dielectric planarization in multilayer microelectronic device fabrication. In the CMP process it is necessary to minimize the extent of surface defect formation while maintaining good planarity and optimal material removal rates. The polishing mechanism of W-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. Thus, it is important to understand the effect of oxidizer on W passivation layer, in order to obtain higher removal rate (RR) and very low non-uniformity (NU%) during W-CMP process. In this paper, we compared the effects of oxidizer or W-CMP process with three different kind of oxidizers with 5% hydrogen peroxide such as $Fe(NO_3)_3$, $H_2O_2$, and $KIO_3$. The difference in removal rate and roughness of W in stable and unstable slurries are believed to caused by modification in the mechanical behavior of $Al_3O_3$ particles in presence of surfactant stabilizing the slurry.

  • PDF

Effect of Hydroxyl Ethyl Cellulose Concentration in Colloidal Silica Slurry on Surface Roughness for Poly-Si Chemical Mechanical Polishing

  • Hwang, Hee-Sub;Cui, Hao;Park, Jin-Hyung;Paik, Ungyu;Park, Jea-Gun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.545-545
    • /
    • 2008
  • Poly-Si is an essential material for floating gate in NAND Flash memory. To fabricate this material within region of floating gate, chemical mechanical polishing (CMP) is commonly used process for manufacturing NAND flash memory. We use colloidal silica abrasive with alkaline agent, polymeric additive and organic surfactant to obtain high Poly-Si to SiO2 film selectivity and reduce surface defect in Poly-Si CMP. We already studied about the effects of alkaline agent and polymeric additive. But the effect of organic surfactant in Poly-Si CMP is not clearly defined. So we will examine the function of organic surfactant in Poly-Si CMP with concentration separation test. We expect that surface roughness will be improved with the addition of organic surfactant as the case of wafering CMP. Poly-Si wafer are deposited by low pressure chemical vapor deposition (LPCVD) and oxide film are prepared by the method of plasma-enhanced tetra ethyl ortho silicate (PETEOS). The polishing test will be performed by a Strasbaugh 6EC polisher with an IC1000/Suba IV stacked pad and the pad will be conditioned by ex situ diamond disk. And the thickness difference of wafer between before and after polishing test will be measured by Ellipsometer and Nanospec. The roughness of Poly-Si film will be analyzed by atomic force microscope.

  • PDF

Role of oxidant on polishing selectivity in the chemical mechanical planarization of W/Ti/TiN layers (W/Ti/TiN막의 연마 선택비 개선을 위한 산화제의 역할)

  • Lee, Kyoung-Jin;Seo, Yong-Jin;Park, Chang-Jun;Kim, Gi-Uk;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.33-36
    • /
    • 2003
  • Tungsten is widely used as a plug for the multi-level interconnection structures. However, due to the poor adhesive properties of tungsten (W) on $SiO_2$ layer, the Ti/TiN barrier layer is usually deposited onto $SiO_2$ for increasing adhesion ability with W film. Generally, for the W-CMP (chemical mechanical polishing) process, the passivation layer on the tungsten surface during CMP plays an important role. In this paper, the effect of oxidants controlling the polishing selectivity of W/Ti/TiN layer were investigated. The alumina $(Al_2O_3)$ abrasive containing slurry with 5 % $H_2O_2$ as the oxidizer, was studied. As our preliminary experimental results, very low removal rates were observed for the case of no-oxidant slurry. This low removal rate is only due to the mechanical abrasive force. However, for Ti and TiN with 5 % $H_2O_2$ oxidizer, different removal rate was observed. The removal mechanism of Ti during CMP is mainly due to mechanical abrasive, whereas for TiN, it is due to the formation of metastable soluble peroxide complex.

  • PDF

Fabrication of silicon field emitter array using chemical-mechanical-polishing process (기계-화학적 연마 공정을 이용한 실리콘 전계방출 어레이의 제작)

  • 이진호;송윤호;강승열;이상윤;조경의
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.2
    • /
    • pp.88-93
    • /
    • 1998
  • The fabrication process and emission characteristics of gated silicon field emitter arrays(FEAs) using chemical-mechanical-polishing (CMP) method are described. Novel fabrication techniques consisting of two-step dry etching with oxidation of silicon and CMP processes were developed for the formation of sharp tips and clear-cut edged gate electrodes, respectively. The gate height and aperture could be easily controlled by varying the polishing time and pressure in the CMP process. We obtained silicon FEAs having self-aligned and clear-cut edged gate electrode opening by eliminating the dishing problem during the CMP process with an oxide mask layer. The tip height of the finally fabricated FEAs was about 1.1 $\mu$m and the end radius of the tips was smaller than 100 $\AA$. The emission current meaured from the fabricated 2809 tips array was about 31 $\mu$A at a gate voltage of 80 V.

  • PDF

Stick-slip in Chemical Mechanical Polishing Using Multi-Particle Simulation Models (다수의 연마입자를 고려한 CMP 공정의 Stick-Slip 고찰)

  • Jung, Soyoung;Sung, In-Ha
    • Tribology and Lubricants
    • /
    • v.34 no.6
    • /
    • pp.279-283
    • /
    • 2018
  • In this study, we investigate the behavior of abrasive particles and change of the stick-slip pattern according to chemical mechanical polishing (CMP) process parameters when a large number of abrasive particles are fixed on a pad. The CMP process is simulated using the finite element method. In the simulation, the abrasive grains are composed of those used in the actual CMP process. Considering the cohesion of the abrasive grains with the start of the CMP process, abrasive particles with various sizes are fixed onto the pad at different intervals so that stick-slip could occur. In this analysis, we determine that when the abrasive particle size is relatively large, the stick-slip period does not change as the pressure increases while the moving speed is constant. However, if the size of the abrasive grains is relatively small, the amount of deformation of the grains increases due to the elasticity of the pad. Therefore, the stick-slip pattern may not be observed. As the number of abrasive particles increases, the stick-slip period and displacement decrease. This is consistent with the decrease in the von Mises yield stress value on the surface of the wafer as the number of abrasive grains increases. We determine that when the number of the abrasive grains increases, the polishing rate, and characteristics are improved, and scratches are reduced. Moreover, we establish that the period of stick-slip increases and the change of the stick-slip size was not large when the abrasive particle size was relatively small.

Modeling of the Conditioning Process in Chemical Mechanical Polishing (컨디셔닝 공정의 수학적 모델링)

  • Chang, One-Moon;Park, Ki-Hyun;Lee, Hyun-Seop;Jung, Won-Duck;Park, Sung-Min;Park, Boum-Young;Seo, Heon-Deok;Kim, Hyoung-Jea;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.569-570
    • /
    • 2006
  • The conditioning process is very important process for the CMP (Chemical Mechaning Polishing). This process regenerates the roughness of the polishing pad during the CMP process, increases the MRR (Material Removal Rate) and gives us longer pad life so conditioning process is essential for the CMP, and conditioning process influences the polishing pad shape gradually. Conditining process is related to the Non-Uniformity. In This paper, Kinematic of the conditioning process and mathematic modeling of the pad wear is studied and result shows how the various parameters influence the pad shape and WIWNU[1]. Consequently through these parameter, optimal design of the conditioning process equipment is predicted.

  • PDF

The Pad Recovery as a function of Diamond Shape on Diamond Disk for Metal CMP (Metal CMP 용 컨디셔너 디스크 표면에 존재하는 다이아몬드의 형상이 미치는 패드 회복력 변화)

  • Kim, Kyu-Chae;Kang, Young-Jae;Yu, Young-Sam;Park, Jin-Goo;Won, Young-Man;Oh, Kwang-Ho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.13 no.3 s.40
    • /
    • pp.47-51
    • /
    • 2006
  • Recently, CMP (Chemical Mechanical Polishing) is one of very important processing in semiconductor technology because of large integration and application of design role. CMP is a planarization process of wafer surface using the chemical and mechanical reactions. One of the most important components of the CMP system is the polishing pad. During the CMP process, the pad itself becomes smoother and glazing. Therefore it is necessary to have a pad conditioning process to refresh the pad surface, to remove slurry debris and to supply the fresh slurry on the surface. A conditioning disk is used during the pad conditioning. There are diamonds on the surface of diamond disk to remove slurry debris and to polish pad surface slightly, so density, shape and size of diamond are very important factors. In this study, we characterized diamond disk with 9 kinds of sample.

  • PDF

Influence of the Diamond Abrasive Size during Mechanical Polishing Process on the Surface Morphology of Gallium Nitride Substrate (Gallium Nitride 기판의 Mechanical Polishing시 다이아몬드 입자 크기에 따른 표면 Morphology의 변화)

  • Kim, Kyoung-Jun;Jeong, Jin-Suk;Jang, Hak-Jin;Shin, Hyun-Min;Jeong, Hae-Do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.25 no.9
    • /
    • pp.32-37
    • /
    • 2008
  • Freestanding hydride vapor phase epitaxy grown GaN(Gallium Nitride) substrates subjected to various polishing methods were characterized for their surface and subsurface conditions, Although CMP(Chemical Mechanical Polishing) is one of the best approaches for reducing scratches and subsurface damages, the removal rate of Ga-polar surface in CMP is insignificant($0.1{\sim}0.3{\mu}m$/hr) as compared with that of N-polar surface, Therefore, conventional MP(Mechanical Polishing) is commonly used in the GaN substrate fabrication process, MP of (0001) surface of GaN has been demonstrated using diamond slurries with different abrasive sizes, Diamond abrasives of size ranging from 30nm to 100nm were dispersed in ethylene glycol solutions and mineral oil solutions, respectively. Significant change in the surface roughness ($R_a$ 0.15nm) and scratch-free surface were obtained by diamond slurry of 30nm in mean abrasive size dispersed in mineral oil solutions. However, MP process introduced subsurface damages confirmed by TEM (Transmission Electronic Microscope) and PL(Photo-Luminescence) analysis.