• 제목/요약/키워드: Built-in self-test

검색결과 147건 처리시간 0.025초

2차원 여분 메모리를 이용한 내장메모리의 자가치유회로 설계 (Design of Built-In-Self-Repair Circuit for Embedded Memory Using 2-D Spare Memory)

  • 최호용;서정일;차상록
    • 대한전자공학회논문지SD
    • /
    • 제44권12호
    • /
    • pp.54-60
    • /
    • 2007
  • 본 논문에서는 내장메모리의 고장을 효율적으로 치유하기 위해 2차원의 여분 메모리를 이용한 내장메모리의 자가치유회로를 제안한다. 내장메모리에 같은 행(열)에 다수의 고장이 발생할 경우에 기존의 1차원의 여분 열(행) 메모리를 이용할 경우에는 고장 수만큼의 여분 메모리 열(행)이 필요하나. 2차원의 메모리를 사용하는 본 방법에서는 하나의 여분 메모리 행(열)으로 치유가 가능하다. 또한, 가상분할 메모리방식을 이용함으로써 여분 메모리 열 전체가 아니라 부분 열을 이용하여 치유가 가능하다. 본 구조를 이용하여, $64\times1$ bit의 코어메모리와 $2\times8$의 2차원 여분 메모리로 구성된 자가치유회로를 설계한다. 그리고, 고장검출을 위해서 13N March 알고리즘을 가진 자가테스트회로를 내장한다. 매그너칩 $0.25{\mu}m$ CMOS공정을 이용하여 Full-Custom으로 설계한 결과, 10,658개의 Tr.수에 코어면적은 $1.1\times0.7mm^2$이 소요되었다.

RF Front End의 결함 검출을 위한 새로운 온 칩 RF BIST 구조 및 회로 설계 (New On-Chip RF BIST(Built-In Self Test) Scheme and Circuit Design for Defect Detection of RF Front End)

  • 류지열;노석호
    • 한국정보통신학회논문지
    • /
    • 제8권2호
    • /
    • pp.449-455
    • /
    • 2004
  • 본 논문에서는 입력 정합(input matching) BIST(Built-In Self-Test, 자체내부검사) 회로를 이용한 RF front end(고주파 전단부)의 새로운 결함 검사방법을 제안한다. 자체내부검사 회로를 가진 고주파 전단부는 1.8GHz LNA(Low Noise Amplifier, 저 잡음 증폭기)와 이중 대칭 구조의 Gilbert 셀 믹서로 구성되어 있으며, TSMC 40.25{\mu}m$ CMOS 기술을 이용하여 설계되었다. catastrophic 결함(거폭 결함) 및 parametric 변동 (미세 결함)을 가진 고주파 전단부와 결함을 갖지 않은 고주파 전단부를 판별하기 위해 고주파 전단부의 입력 전압특성을 조사하였다. 본 검사방법에서는 DUT(Device Under Test, 검사대상이 되는 소자)와 자체내부검사회로가 동일한 칩 상에 설계되어 있기 때문에 측정할 때 단지 디지털 전압계와 고주파 전압 발생기만 필요하며, 측정이 간단하고 비용이 저렴하다는 장점이 있다.

Built-In 테스트 방식을 이용한 RAM(Random Access Memory)의 고장 검출 (Fault Detection of Semiconductor Random Access Memories Using Built-In Testing Techniques)

  • 김윤홍;임인칠
    • 대한전자공학회논문지
    • /
    • 제27권5호
    • /
    • pp.699-708
    • /
    • 1990
  • This paper proposes two test procedures for detecting functional faults in semiconductor random access memories (RAM's) and a new testimg scheme to execute the proposed test procedures. The first test procedure detects stuck-at faults, coupling faults and decoder faults, and requires 19N operations, which is an improvement over conventional procedures. The second detects restricted patternsensitive faults and requires 69N operations. The proposed scheme uses Built-In Self Testing (BIST) techniques. The scheme can write into more memory cells than I/O pins can in a write cycle in test mode. By using the scheme, the number of write operations is reduced and then much testing time is saved.

  • PDF

시스토릭 어레이 구조를 갖는 FFT 프로세서에 대한 Self-Testing (Self-Testing for FFT processor with systolic array architecture)

  • 이장규;강병훈;최병윤;신경욱;이문기
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1987년도 전기.전자공학 학술대회 논문집(II)
    • /
    • pp.1503-1506
    • /
    • 1987
  • This paper proposes the self test method for 16 point FFT processor with systolic array architecture. To test efficiently and solve the increased hardware problems due to built-in self test, we change the normal registers into Linear Feedback Shift Registers(LFSR). LFSR can be served as a test pattern generator or a signature analyzer during self test operation, while LFSR a ordering register or a accumulator during normal operation. From the results of logic simulation for 16 point FFT processor by YSLOG, the total time is estimated in about. 21.4 [us].

  • PDF

An Efficient Built-in Self-Test Algorithm for Neighborhood Pattern- and Bit-Line-Sensitive Faults in High-Density Memories

  • Kang, Dong-Chual;Park, Sung-Min;Cho, Sang-Bock
    • ETRI Journal
    • /
    • 제26권6호
    • /
    • pp.520-534
    • /
    • 2004
  • As the density of memories increases, unwanted interference between cells and the coupling noise between bit-lines become significant, requiring parallel testing. Testing high-density memories for a high degree of fault coverage requires either a relatively large number of test vectors or a significant amount of additional test circuitry. This paper proposes a new tiling method and an efficient built-in self-test (BIST) algorithm for neighborhood pattern-sensitive faults (NPSFs) and new neighborhood bit-line sensitive faults (NBLSFs). Instead of the conventional five-cell and nine-cell physical neighborhood layouts to test memory cells, a four-cell layout is utilized. This four-cell layout needs smaller test vectors, provides easier hardware implementation, and is more appropriate for both NPSFs and NBLSFs detection. A CMOS column decoder and the parallel comparator proposed by P. Mazumder are modified to implement the test procedure. Consequently, these reduce the number of transistors used for a BIST circuit. Also, we present algorithm properties such as the capability to detect stuck-at faults, transition faults, conventional pattern-sensitive faults, and neighborhood bit-line sensitive faults.

  • PDF

Numerical simulation of wedge splitting test method for evaluating fracture behaviour of self compacting concrete

  • Raja Rajeshwari B.;Sivakumar, M.V.N.;Sai Asrith P.
    • Computers and Concrete
    • /
    • 제33권3호
    • /
    • pp.265-273
    • /
    • 2024
  • Predicting fracture properties requires an understanding of structural failure behaviour in relation to specimen type, dimension, and notch length. Facture properties are evaluated using various testing methods, wedge splitting test being one of them. The wedge splitting test was numerically modelled three dimensionally using the finite element method on self compacting concrete specimens with varied specimen and notch depths in the current work. The load - Crack mouth opening displacement curves and the angle of rotation with respect to notch opening till failure are used to assess the fracture properties. Furthermore, based on the simulation results, failure curve was built to forecast the fracture behaviour of self-compacting concrete. The fracture failure curve revealed that the failure was quasi-brittle in character, conforming to non-linear elastic properties for all specimen depth and notch depth combinations.

24GHz 차량 추돌 예방 시스템-온-칩용 자체 내부검사회로 설계 (Built-In Self-Test Circuit Design for 24GHz Automotive Collision Avoidance Radar System-on-Chip)

  • 임재환;김성우;류지열;노석호
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국정보통신학회 2012년도 춘계학술대회
    • /
    • pp.713-715
    • /
    • 2012
  • 본 논문은 24GHz 차량 추돌 예방 레이더 시스템-온-칩을 위한 입력 임피던스, 전압이득 및 잡음지수를 자동으로 측정할 수 있는 새로운 형태의 고주파 자체 내부검사(BIST, Built-In Self-Test) 회로를 제안한다. 이러한 BIST 회로는 TSMC $0.13{\mu}m$ 혼성신호/고주파 CMOS 공정 ($f_T/f_{MAX}$=140/120GHz)으로 설계되어 있다. 알고리즘은 LabVIEW로 구현되어 있다. BIST 알고리즘은 입력 임피던스 정합과 출력 직류 전압 측정원리를 이용한다. 본 논문에서 제안하는 방법은 자동으로 쉽게 고주파 회로의 성능변수를 측정할 수 있기 때문에 시스템-온-칩의 저가 성능 검사의 대안이 될 것으로 기대한다.

  • PDF

내장된 메모리 테스트를 위한 랜덤 BIST의 비교분석 (An Analysis of Random Built-In Self Test Techniques for Embedded Memory Chips)

  • 김태형;윤수문;김국환;박성주
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 추계종합학술대회 논문집
    • /
    • pp.935-938
    • /
    • 1999
  • 메모리 테스트는 Built-In Self Test(BIST)와 같이 메모리에 내장된 회로를 통하여 자체 점검하는 방법과 테스터를 통하여 생성된 패턴을 주입하는 방법이 있다. 테스트 패턴 생성방법으로는 각각의 고장모델에 대한 테스트 패턴을 deterministic하게 생성해주는 방법과 Pseudo Random Pattern Generator(PRPG)를 이용하여 생성하는 경우로 구분할 수 있다. 본 연구에서는 PRPG를 패턴 생성기로 사용하여 여러 가지 메모리의 결함을 대표한다고 볼 수 있는 Static 및 Dynamic Neighborhood Pattern Sensitive Fault(NPSF) 등 다양한 종류의 고장을 점검할 수 있도록 메모리 BIST를 구성하였다. 기존의 Linear Feedback Shift Register(LFSR)보다 본 연구에서 제안하는 Linear Hybrid Cellular Automata(LHCA)를 이용한 PRPG가 높고 안정된 고장 점검도를 나타내었다.

  • PDF

IEEE 1149.1을 이용한 내장된 자체 테스트 기법의 구현 (Implementation of Built-In Self Test Using IEEE 1149.1)

  • 박재흥;장훈;송오영
    • 한국통신학회논문지
    • /
    • 제25권12A호
    • /
    • pp.1912-1923
    • /
    • 2000
  • 본 논문에서는 내장된 자체 테스트(BIST: Built-In Self Test) 기법의 구현에 관해 기술한다. 내장된 자체 테스트 기법이 적용된 칩은 영상 처리 및 3차원 그래픽스용 부동 소수점 DSP 코어인 FLOVA이다. 내장된 로직 자체 테스트 기법은 FLOVA의 부동 소수점 연산 데이터 패스에 적용하였으며, 내장된 메모리 자체 테스트 기법은 FLOVA에 내장된 데이터 메모리와 프로그램 메모리에 적용하였다. 그리고, 기판 수준의 테스팅을 지원하기 위한 표준안인 경계 주사 기법(IEEE 1149.1)을 구현하였다. 특히, 내장된 자체 테스트 로직을 제어할 수 있도록 경계주사 기법을 확장하여 적용하였다.

  • PDF

내장 자체 테스트의 low overhead를 위한 공간 압축기 설계 (A design of Space Compactor for low overhead in Built-In Self-Test)

  • 정준모
    • 한국정보처리학회논문지
    • /
    • 제5권9호
    • /
    • pp.2378-2387
    • /
    • 1998
  • 본 논문에서는 VLSI 회로의 내장 자체 테스트(Built-In Self-Test)를 위한 효율적인 공간 응답 압축기의 설계 방식을 제안한다. 제안하는 공간 압축기의 설계 방식은 테스트 대상 회로의 구조와는 독립적으로 적용할 수 있다. 기존의 공간 응답 압축기는 하드웨어 오버헤드(hardware overheads)가 크고, 고장 응답을 비고장 응답으로 변환시키는 에일리어싱(aliasing)에 의해 고장 검출률(fault coverage)을 감소시켰으나, 제안하는 방식에 의해 설계된 공간 응답 압축기는 기존의 방법에 비해 하드웨어 오버헤드가 작고, 고장 검출률을 감소시키지 않는다. 또한, 제안하는 방식은 일반적인 N-입력 논리 게이트로 확장이 가능하여 테스트 대상 회로의 출력 시퀸스에 따른 가장 효율적인 공간 응답 압축기를 설계할 수 있다. 제안한 설계 방식은 SUN SPARC Workstation 상에서 C 언어를 사용하여 구현하며, ISCAS'85 벤치마크 회로를 대상으로 선형 피드백 시프트 레지스터(Linear Feedback Shift Registers)에 의해 생성된 의사 랜덤(pseudo random)패턴을 입력원으로 사용하여 시뮬레이션을 수행하므로써 그 타당성과 효율성을 입증한다.

  • PDF