• Title/Summary/Keyword: Built-in self-test

Search Result 147, Processing Time 0.035 seconds

Internal Pattern Matching Algorithm of Logic Built In Self Test Structure (Logic Built In Self Test 구조의 내부 특성 패턴 매칭 알고리즘)

  • Jeon, Yu-Sung;Kim, In-Soo;Min, Hyoung-Bok
    • Proceedings of the KIEE Conference
    • /
    • 2008.07a
    • /
    • pp.1959-1960
    • /
    • 2008
  • The Logic Built In Self Test (LBIST) technique is substantially applied in chip design in most many semiconductor company in despite of unavoidable overhead like an increase in dimension and time delay occurred as it used. Currently common LBIST software uses the MISR (Multiple Input Shift Register) However, it has many considerations like defining the X-value (Unknown Value), length and number of Scan Chain, Scan Chain and so on for analysis of result occurred in the process. So, to solve these problems, common LBIST software provides the solution method automated. Nevertheless, these problems haven't been solved automatically by Tri-state Bus in logic circuit yet. This paper studies the algorithm that it also suggest algorithm that reduce additional circuits and time delay as matching of pattern about 2-type circuits which are CUT(circuit Under Test) and additional circuits so that the designer can detect the wrong location in CUT: Circuit Under Test.

  • PDF

Design of Built-In Self Test Circuit (내장 자가 검사 회로의 설계)

  • 김규철;노규철
    • Proceedings of the IEEK Conference
    • /
    • 1999.06a
    • /
    • pp.723-728
    • /
    • 1999
  • In this paper, we designed a Circular Path Built-In Self Test circuit and embedded it into a simple 8-bit microprocessor. Register cells of the microprocessor have been modified into Circular Path register cells and each register cells have been connected to form a scan chain. A BIST controller has been designed for controlling BIST operations and its operation has been verified through simulation. The BIST circuit described in this paper has increased size overhead of the microprocessor by 29.8% and delay time in the longest delay path from clock input to output by 2.9㎱.

  • PDF

BIST implemetation with test points insertion (테스트 포인트 삽입에 의한 내장형 자체 테스트 구현)

  • 장윤석;이정한김동욱
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.1069-1072
    • /
    • 1998
  • Recently the development of design and automation technology and manufacturing method, has reduced the cost of chip, but it becomes more difficult to test IC chip because test technique doesn't keep up with these techniques. In case of IC testing, obtaining test vectors to be able to detect good chip or bad one is very important, but according to increasing complexity, it is very complex and difficult. Another problem is that during testing, there could be capability of physical and electrical damage on chip. Also there is difficulty in synchronization between CUT (circuit under test) and Test equipment〔1〕. Because of these difficulties, built in self test has been proposed. Not only obtaining test vectors but also reducing test time becomes hot issues nowadays. This paper presents a new test BIST(built in self test) method. Proposed BIST implementation reduces test time and obtains high fault coverage. By searching internal nodes in which are inserted test_point_cells〔2〕and allocating TPG(test pattern generation) stages, test length becomes much shorter.

  • PDF

Design of a New RF Built-In Self-Test Circuit for 5.25GHz SiGe Low Noise Amplifier (5.25GHz 저잡음 증폭기를 위한 새로운 고주파 BIST 회로 설계)

  • 류지열;노석호;박세현;박세훈;이정환
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2004.05b
    • /
    • pp.635-641
    • /
    • 2004
  • This paper presents a new low-cost RF Built-In Self-Test (BIST) circuit for measuring transducer voltage gain, noise figure and input impedance of 5.25GHa low noise amplifier (LNA). The BIST circuit is designed using 0.18${\mu}{\textrm}{m}$ SiGe technology. The test technique utilizes input impedance matching and output transient voltage measurements. The technique is simple and inexpensive. Total chip size has additional area of about 18% for BIST circuit.

  • PDF

Fully Programmable Memory BIST for Commodity DRAMs

  • Kim, Ilwoong;Jeong, Woosik;Kang, Dongho;Kang, Sungho
    • ETRI Journal
    • /
    • v.37 no.4
    • /
    • pp.787-792
    • /
    • 2015
  • To accomplish a high-speed test on low-speed automatic test equipment (ATE), a new instruction-based fully programmable memory built-in self-test (BIST) is proposed. The proposed memory BIST generates a highspeed internal clock signal by multiplying an external low-speed clock signal from an ATE by a clock multiplier embedded in a DRAM. For maximum programmability and small area overhead, the proposed memory BIST stores the unique sets of instructions and corresponding test sequences that are implicit within the test algorithms that it receives from an external ATE. The proposed memory BIST is managed by an external ATE on-the-fly to perform complicated and hard-to-implement functions, such as loop operations and refresh-interrupts. Therefore, the proposed memory BIST has a simple hardware structure compared to conventional memory BIST schemes. The proposed memory BIST is a practical test solution for reducing the overall test cost for the mass production of commodity DDRx SDRAMs.

SRAM Reuse Design and Verification by Redundancy Memory (여분의 메모리를 이용한 SRAM 재사용 설계 및 검증)

  • Shim Eun sung;Chang Hoon
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.30 no.4A
    • /
    • pp.328-335
    • /
    • 2005
  • bIn this paper, built-in self-repair(BISR) is proposed for semiconductor memories. BISR is consisted of BIST(Buit-in self-test) and BIRU(Built-In Remapping Uint). BIST circuits are required not oがy to detect the presence of faults but also to specify their locations for repair. The memory rows are virtually divided into row blocks and reconfiguration is performed at the row block level instead of the traditional row level. According to the experimental result, we can verify algorithm for replacement of faulty cell.

A Flexible Programmable Memory BIST for Embedded Single-Port Memory and Dual-Port Memory

  • Park, Youngkyu;Kim, Hong-Sik;Choi, Inhyuk;Kang, Sungho
    • ETRI Journal
    • /
    • v.35 no.5
    • /
    • pp.808-818
    • /
    • 2013
  • Programmable memory built-in self-test (PMBIST) is an attractive approach for testing embedded memory. However, the main difficulties of the previous works are the large area overhead and low flexibility. To overcome these problems, a new flexible PMBIST (FPMBIST) architecture that can test both single-port memory and dual-port memory using various test algorithms is proposed. In the FPMBIST, a new instruction set is developed to minimize the FPMBIST area overhead and to maximize the flexibility. In addition, FPMBIST includes a diagnostic scheme that can improve the yield by supporting three types of diagnostic methods for repair and diagnosis. The experiment results show that the proposed FPMBIST has small area overhead despite the fact that it supports various test algorithms, thus having high flexibility.

Time-division Multiplexing Scheme for Analog Response Analysis (시분할 멀티플렉싱 기법을 이용한 아날로그 회로응답 분석)

  • 노정진
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.2
    • /
    • pp.126-136
    • /
    • 2003
  • We propose a new technique to improve the parametric fault coverage of oscillation test method (OTM). The OTM has been popular as a vectorless scheme for analog circuit test, both as a general defect-oriented technique, as well as an oscillation built-in self- test (BIST) scheme. However, it still requires improvement in several aspects. This paper analyzes the limitation of OTM, and proposes new signature analysis scheme to improve its performance.

Built-in self test for high density SRAMs using parallel test methodology (병렬 테스트 방법을 적용한 고집적 SRAM을 위한 내장된 자체 테스트 기법)

  • 강용석;이종철;강성호
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.35C no.8
    • /
    • pp.10-22
    • /
    • 1998
  • To handle the density increase of SRAMs, a new parallel testing methodology based on built-in self test (BIST) is developed, which allows to access multiple cells simultaneously. The main idea is that a march algorithm is dperformed concurently in each baisc marching block hwich makes up whole memory cell array. The new parallel access method is very efficient in speed and reuqires a very thny hardware overhead for BIST circuitry. Results show that the fault coverage of the applied march algorithm can be achieved with a lower complexity order. This new paralle testing algorithm tests an .root.n *.root.n SRAM which consists of .root.k * .root.k basic marching blocks in O(5*.root.k*(.root.k+.root.k)) test sequence.

  • PDF