• Title/Summary/Keyword: Branch Prediction

Search Result 166, Processing Time 0.029 seconds

Dynamic Per-Branch History Length Fitting for High-Performance Processor (고성능 프로세서를 위한 분기 명령어의 동적 History 길이 조절 기법)

  • Kwak, Jong-Wook;Jhang, Seong-Tae;Jhon, Chu-Shik
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.44 no.2 s.314
    • /
    • pp.1-10
    • /
    • 2007
  • Branch prediction accuracy is critical for the overall system performance. Branch miss-prediction penalty is the one of the significant performance limiters for improving processor performance, as the pipeline deepens and the instruction issued per cycle increases. In this paper, we propose "Dynamic Per-Branch History Length Fitting Method" by tracking the data dependencies among the register writing instructions. The proposed solution first identifies the key branches, and then it selectively uses the histories of the key branches. To support this mechanism, we provide a history length adjustment algorithm and a required hardware module. As the result of simulation, the proposed mechanism outperforms the previous fixed static method, up to 5.96% in prediction accuracy. Furthermore, our method introduces the performance improvement, compared to the profiled results which are generally considered as the optimal ones.

A Development of Hotel Bankruptcy Prediction Model on Artificial Neural Network (인공신경망 기반 호텔 부도예측모형 개발)

  • Choi, Sung-Ju;Lee, Sang-Won
    • Journal of the Korea Society of Computer and Information
    • /
    • v.19 no.10
    • /
    • pp.125-133
    • /
    • 2014
  • This paper develops a bankruptcy prediction model on an Artificial Neural Network for hotel management. A bankruptcy prediction model has a specific feature to predict a bankruptcy of the whole hotel business after evaluate bankruptcy possibility on the basis of business performance data of each branch. here are many traditional statistical models for bankruptcy prediction such as Multivariate Discriminant Analysis or Logit Analysis. However, we chose Artificial Neural Network because the method has accuracy rates of prediction better than those of other methods. We first selected 100 good enterprises and 100 bankrupt enterprises as experimental data and set up a bankruptcy prediction model by use of a tool for Artificial Neural Network, NeuroShell. The model and its experiments, which demonstrated high efficiency, can certainly provide great help in decision making in the field of hotel management and in deciding on the bankruptcy or financial solidity of each branch of serviced residence hotel.

The Processor Performance Model Using Statistical Simulation (통계적 모의실험을 이용하는 프로세서의 성능 모델)

  • Lee Jong-Bok
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.33 no.5
    • /
    • pp.297-305
    • /
    • 2006
  • Trace-driven simulation is widely used for measuring the performance of a microprocessor in its initial design phase. However, since it requires much time and disk space, the statistical simulation has been studied as an alternative method. In this paper, statistical simulations are performed for a high performance superscalar microprocessor with a perceptron-based multiple branch predictor. For the verification, various hardware configurations are simulated using SPEC2000 benchmarks programs as input. As a result, we show that the statistical simulation is quite accurate and time saving for the evaluation of microprocessor architectures with multiple branch prediction.

Effective Branch Prediction Schemes in AE32000 (AE32000에서의 효율적인 분기 예측 기법)

  • 정주영;김현규;오형철
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2001.10c
    • /
    • pp.25-27
    • /
    • 2001
  • 본 논문에서는 AE32000 프로세서에 적응 가능한 효율적인 분기 예측 기법에 관하여 연구하였다. 실험결과, 내장형 응용분야에서의 비용 효율성이란 측면에, AE32000 프로세서에서는 1비트의 분기 예측기와 한 개의 엔트리를 갖는 BTB(Branch Target Buffer)를 사용하는 것이 가장 적합함을 관찰하였다. 또한, 분기 목적 주소에서 나타나는 LERI 명령을 폴딩하여 분기 손실을 줄이는 방안은, BTB와 LERI 폴딩 유닛을 사용하는 설계에서, 가져오는 성능 향상이 미미함을 확인하였다.

  • PDF

A prediction model of low back pain risk: a population based cohort study in Korea

  • Mukasa, David;Sung, Joohon
    • The Korean Journal of Pain
    • /
    • v.33 no.2
    • /
    • pp.153-165
    • /
    • 2020
  • Background: Well-validated risk prediction models help to identify individuals at high risk of diseases and suggest preventive measures. A recent systematic review reported lack of validated prediction models for low back pain (LBP). We aimed to develop prediction models to estimate the 8-year risk of developing LBP and its recurrence. Methods: A population based prospective cohort study using data from 435,968 participants in the National Health Insurance Service-National Sample Cohort enrolled from 2002 to 2010. We used Cox proportional hazards models. Results: During median follow-up period of 8.4 years, there were 143,396 (32.9%) first onset LBP cases. The prediction model of first onset consisted of age, sex, income grade, alcohol consumption, physical exercise, body mass index (BMI), total cholesterol, blood pressure, and medical history of diseases. The model of 5-year recurrence risk was comprised of age, sex, income grade, BMI, length of prescription, and medical history of diseases. The Harrell's C-statistic was 0.812 (95% confidence interval [CI], 0.804-0.820) and 0.916 (95% CI, 0.907-0.924) in validation cohorts of LBP onset and recurrence models, respectively. Age, disc degeneration, and sex conferred the highest risk points for onset, whereas age, spondylolisthesis, and disc degeneration conferred the highest risk for recurrence. Conclusions: LBP risk prediction models and simplified risk scores have been developed and validated using data from general medical practice. This study also offers an opportunity for external validation and updating of the models by incorporating other risk predictors in other settings, especially in this era of precision medicine.

Performance Improvement of Single Chip Multiprocessor using Concurrent Branch Execution (분기 동시 수행을 이용한 단일 칩 멀티프로세서의 성능 개선)

  • Lee, Seung-Ryul;Kim, Jun-Shik;Choi, Jae-Hyeok;Choi, Sang-Bang
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.44 no.2
    • /
    • pp.61-71
    • /
    • 2007
  • The instruction level parallelism, which has been used to improve the performance of processors, expose its limit. The change of a control flow by a branch miss prediction is one of the obstacles that restrict the instruction level parallelism. The single chip multiprocessors have been developed to utilize the thread level parallelism. However, we could not use the maximum performance of the single chip multiprocessor in case of executing the coded programs without considering the multi-thread. In order to overcome the two performance degradation factors, in this paper, we suggest the concurrent branch execution method that applies to the multi-path execution method at a single chip multiprocessor. We executes all two flows of the conditional branch using the idle core processor. Through this, we can improve the processor's efficiency with blocking the control flow termination by the branch instruction and reducing the idle time. We analyze the effects of concurrent branch execution proposed in this paper through the simulation. As a result of that, concurrent branch execution reduces about 20% of idle time and improves the maximum 10% of the branch prediction accuracy. We show that our scheme improves the overall performance of maximum 39% compared to the normal single chip multiprocessor and maximum 27% compared to the superscalar processor.

Miniaturization of Branch Line Coupler with Connected Coupled Lines (연결된 결합 선로를 갖는 소형 브랜치 선로 결합기)

  • Rhee, Seung-Yeop
    • The Journal of Korean Institute of Electromagnetic Engineering and Science
    • /
    • v.22 no.6
    • /
    • pp.598-604
    • /
    • 2011
  • A method of miniaturizing branch line coupler with connected coupled lines is presented. The quarter-wavelength transmission lines in the typical microstrip branch line coupler are replaced with the connected coupled lines with same characteristics of ones for compact size. The connected coupled line is analyzed by T-equivalent circuit and Z parameters based on the even-odd mode analysis. The proposed branch line couplers with connected coupled line are designed and fabricated on FR4 substrate at 2.4 GHz. The measured results show good agreement with theoretical prediction. And the experimental results show that the size of coupler is 37 precent smaller than conventional coupler. This minimized coupler is suitable for Butler Matrix as feeder for mobile communication beam forming antenna.

Quantum Chemical Studies of Some Sulphanilamide Schiff Bases Inhibitor Activity Using QSAR Methods

  • Baher, Elham;Darzi, Naser;Morsali, Ali;Beyramabadi, Safar Ali
    • Journal of the Korean Chemical Society
    • /
    • v.59 no.6
    • /
    • pp.483-487
    • /
    • 2015
  • The different calculated quantum chemical descriptors by DFT method were used for prediction of some sulphanilamide Schiff bases inhibitor activity as a binding constant (log K). Multiple linear regression (MLR) and artificial neural network (ANN) were employed for developing the useful quantitative structure activity relationship (QSAR) model. The obtained results presented superiority of ANN model over the MLR one. The offering QSAR model is very easy to computation and Physico-Chemically interpretable. Sensitivity analysis was used to determine the relative importance of each descriptor in ANN model. The order of importance of each descriptor according to this analysis is: molecular volume, molecular weight and dipole moment, respectively. These descriptors appear good information related to different structure of sulphanilamide Schiff bases can participate in their inhibitor activity.

Effect of Flow Direction on Two-Phase Flow Distribution of Refrigerants at a T-Junction

  • Tae Sang-Jin;Cho Keum-Nam
    • Journal of Mechanical Science and Technology
    • /
    • v.20 no.5
    • /
    • pp.717-727
    • /
    • 2006
  • The present study experimentally investigated the effect of flow direction and other flow parameters on two-phase flow distribution of refrigerants at a T-junction, and also suggested a prediction model for refrigerant in a T-junction by modifying previous model for air-water flow. R-22, R-134a, and R-410A were used as test refrigerants. As geometric parameters, the direction of the inlet or branch tube and the tube diameter ratio of branch to inlet tube were chosen. The measured data were compared with the values predicted by the models developed for air-water or steam-water mixture in the literature. We propose a modified model for application to the reduced T-junction and vertical tube orientation. Among the geometric parameters, the branch tube direction showed the biggest sensitivity to the mass flow rate ratio for the gas phase, while the inlet quality showed the biggest sensitivity to the mass flow rate ratio among the inlet flow parameters.

Performance improvement of single chip multiprocessor using concurrent branch execution (분기 동시 수행을 이용한 단일 칩 멀티프로세서의 성능 향상 기법)

  • Lee, Seung-Ryul;Jung, Jin-Ha;Choi, Jae-Hyeok;Choi, Sang-Bang
    • Proceedings of the IEEK Conference
    • /
    • 2006.06a
    • /
    • pp.723-724
    • /
    • 2006
  • Exploiting the instruction level parallelism encountered with the limit. Single chip multiprocessor was introduced to overcome the limit of traditional processor using the instruction level parallelism. Also, a branch miss prediction is one of the causes that reduce the processor performance. In order to overcome the problems, in this paper, we make single chip multiprocessor having the idle core execute the two control flow of conditional branch. This scheme is a kind of multi-path execution technique based on single chip multiprocessor architecture.

  • PDF