• 제목/요약/키워드: 3-mask

검색결과 1,000건 처리시간 0.023초

A Mask Wearing Detection System Based on Deep Learning

  • Yang, Shilong;Xu, Huanhuan;Yang, Zi-Yuan;Wang, Changkun
    • Journal of Multimedia Information System
    • /
    • 제8권3호
    • /
    • pp.159-166
    • /
    • 2021
  • COVID-19 has dramatically changed people's daily life. Wearing masks is considered as a simple but effective way to defend the spread of the epidemic. Hence, a real-time and accurate mask wearing detection system is important. In this paper, a deep learning-based mask wearing detection system is developed to help people defend against the terrible epidemic. The system consists of three important functions, which are image detection, video detection and real-time detection. To keep a high detection rate, a deep learning-based method is adopted to detect masks. Unfortunately, according to the suddenness of the epidemic, the mask wearing dataset is scarce, so a mask wearing dataset is collected in this paper. Besides, to reduce the computational cost and runtime, a simple online and real-time tracking method is adopted to achieve video detection and monitoring. Furthermore, a function is implemented to call the camera to real-time achieve mask wearing detection. The sufficient results have shown that the developed system can perform well in the mask wearing detection task. The precision, recall, mAP and F1 can achieve 86.6%, 96.7%, 96.2% and 91.4%, respectively.

한국인 안면부 인체 데이터를 이용한 마스크 계면 프로토타입 설계 (Half-Mask Interface Prototype Design using Korean Face Anthropometric Data)

  • 송영웅;양원호
    • 대한안전경영과학회지
    • /
    • 제12권4호
    • /
    • pp.87-92
    • /
    • 2010
  • The mask-face interface design should consider the face shape to improve the half mask respirator's fit ratio. This study tried to design the mask-face interface using recent Korean face data. By using the data of 1536 men's 3D face scanning (Size Korea data), head clay mock-up was made and mask-face interface line was extracted from this head mock-up. Using this interface line, the half-mask prototype was made. According to the quantitative fitting test, the proposed mask was found to be well fitted (average fit-ratio > 100). The proposed method had two advantages. 1) The method could use massive head-related anthropometric data like Size Korea data. 2) The qualitative fit test (observation) could be conducted very quickly by fitting the prototype to the head mock-up. However, this method also had several limitations. 1) The head clay mock-up could be different according to the mock-up maker. 2) The average values of the head-related anthropometric data were used to make the head mock-up. Small and large size head mock-ups should be made and tested.

인듐안티모나이드 포토 센서를 이용한 CRT 섀도우 마스크의 비접촉 온도 측정에 관한 연구 (A study on the non-contact measurement for the temperature of shadow mask of Cathode Ray Tube using InSb photo sensor)

  • 강대진;박정우;송창섭
    • 한국정밀공학회지
    • /
    • 제14권3호
    • /
    • pp.15-20
    • /
    • 1997
  • This paper presents the experimental study of the non-contact temperature measurement for the shadow mask of cathode ray tube using InSb sensor. At present, High resolution of CRT(Cathode Ray Tube) is needed broadly; therefore, the measurement of temperature distribution of shadow mask in CRT during operation is important to analyze the thermal deformation of shadow mask. Most of the studies could not measure the temperature distribution of shadow mask precisely. We studied the temperature dis- tribution of shadow mask using InSb photo sensor for 17" cathode ray tube (CRT). Experiments using ther- mocouple are performed to validate the results of non-contact measurement. The results agree well with those results of non-contact method using InSb sensor.nsor.

  • PDF

Focused Ion Beam을 이용한 EUVL Mask Defect Isolation 및 Repair (EUVL Mask Defect Isolation and Repair using Focused Ion Beam)

  • 김석구;백운규;박재근
    • 반도체디스플레이기술학회지
    • /
    • 제3권2호
    • /
    • pp.5-9
    • /
    • 2004
  • Microcircuit fabrication requires precise control of impurities in tiny regions of the silicon. These regions must be interconnected to create components and VLSI circuits. The patterns to define such regions are created by lithographic processes. In order to image features smaller than 70 nm, it is necessary to employ non-optical technology (or next generation lithography: NGL). One such NGL is extreme ultra-violet lithography (EUVL). EUVL transmits the pattern on the wafer surface after reflecting ultra-violet through mask pattern. If particles exist on the blank mask, it can't transmit the accurate pattern on the wafer and decrease the reflectivity. It is important to care the blank mask. We removed the particles on the wafer using focused ion beam (FIB). During removal, FIB beam caused damage the multi layer mask and it decreased the reflectivity. The relationship between particle removal and reflectivity is examined: i) transmission electron microscope (TEM) observation after particle removal, ii) reflectivity simulation. It is found that the image mode of FIB is more effective for particle removal than spot and bar mode.

  • PDF

광택기 제조를 목적으로 한 스퍼터링을 이용한 Mo 증착과 불산 습식 식각 특성 연구 (A Study on the Mo Sputtering and HF Wet Etching for the Fabrication of Polisher)

  • 김도형;이호덕;권상직;조의식
    • 반도체디스플레이기술학회지
    • /
    • 제16권4호
    • /
    • pp.16-19
    • /
    • 2017
  • For the economical and environmental-friendly fabrication of polisher, Mo mask layer were sputtered on glass substrate instead of Cr mask material. Mo mask layers were sputtered by pulsed-DC sputtering and Photoresist patterns were formed on Mo mask layer for different develop times and optimized. After Mo mask layer were patterned and exposed glass was wet etched by HF solution for different etching times, the remaining Mo mask was stripped by using Al etchant. Develop time of 30 sec and HF wet etching time of 3 min were selected as optimized process condition and applied to the fabrication of polisher.

  • PDF

혼재된 환경에서의 효율적 로봇 파지를 위한 3차원 물체 인식 알고리즘 개발 (Development of an Efficient 3D Object Recognition Algorithm for Robotic Grasping in Cluttered Environments)

  • 송동운;이재봉;이승준
    • 로봇학회논문지
    • /
    • 제17권3호
    • /
    • pp.255-263
    • /
    • 2022
  • 3D object detection pipelines often incorporate RGB-based object detection methods such as YOLO, which detects the object classes and bounding boxes from the RGB image. However, in complex environments where objects are heavily cluttered, bounding box approaches may show degraded performance due to the overlapping bounding boxes. Mask based methods such as Mask R-CNN can handle such situation better thanks to their detailed object masks, but they require much longer time for data preparation compared to bounding box-based approaches. In this paper, we present a 3D object recognition pipeline which uses either the YOLO or Mask R-CNN real-time object detection algorithm, K-nearest clustering algorithm, mask reduction algorithm and finally Principal Component Analysis (PCA) alg orithm to efficiently detect 3D poses of objects in a complex environment. Furthermore, we also present an improved YOLO based 3D object detection algorithm that uses a prioritized heightmap clustering algorithm to handle overlapping bounding boxes. The suggested algorithms have successfully been used at the Artificial-Intelligence Robot Challenge (ARC) 2021 competition with excellent results.

호흡기보호구의 밀착계수와 안면구조의 관계 (Correlation of Fit Factors for Respirators and Anthropometric Dimension)

  • 한돈희
    • Journal of Preventive Medicine and Public Health
    • /
    • 제31권3호
    • /
    • pp.440-448
    • /
    • 1998
  • In many developed countries, for example, USA, respirator fit testing is required before entering specific work environment to ensure that the respirator worn satisfies a minimum of fit and that the user knows when the respirator fits properly. Unfortunately because we have not fit test regulation in Korea, a lot of workers wearing respirators may be potentially exposed to hazards. This study was conducted to evaluate the fitting performance for respirators and correlation fit factors with facial dimensions of wearers. 110 subjects (70 males, 40 females) were fit tested for three quarter masks, i.e., two domestic-made Mask 2, and Y and one foreign-made Mask T using PortaCount 8020. A facial dimension survey of the same subjects was conducted to develop a facial dimension grids fer correlation fit factors with facial dimension parameters. A facial dimension grid was developed on the basis of face length and lip length for quarter masks. The results obtained were as follows : 1 Fit factors of Mask T were much higher than those of Masks Z, and Y. 2. Males were fitted more properly than females. 3. Male in box 'f' of grid would be adequately fitted Mask Y and male in box 'b', 'e', 'f', 'h' of grid would be sufficiently fitted Mask T. Female in box 'h' of grid may have a good fitting performance for both Mask Y, and T. But subjects in all boxes of grid would be inadequately fitted Mask Z.

  • PDF

스크린 인쇄용 미세 범프 금속마스크의 변형특성 해석 (Deformation Analysis of a Metal Mask for the Screen Printing of Micro Bumps)

  • 이기연;이혜진;김종봉;박근
    • 한국생산제조학회지
    • /
    • 제21권3호
    • /
    • pp.408-414
    • /
    • 2012
  • Screen printing is a printing method that uses a woven mesh to support an ink-blocking stencil by transferring ink or other printable materials in order to form an image onto a substrate. Recently, the screen printing method has applied to micro-electronic packaging by using solder paste as a printable material. For the screen printing of solder paste, metal masks containing a number of micro-holes are used as a stencil material. The metal mask undergoes deformation when it is installed in the screen printing machine, which results in the deformation of micro-holes. In the present study, finite element (FE) analysis was performed to predict the amount of deformation of a metal mask. For an efficient calculation of the micro-holes of the metal mask, the sub-domain analysis method was applied to perform FE analyses connecting the global domain (the metal mask) and the local domain (micro-holes). The FE analyses were then performed to evaluate the effects of slot designs on the deformation characteristics, from which more uniform and adjustable deformation of the metal mask can be obtained.

나노 X-선 쉐도우 마스크를 이용한 고폭비의 나노 구조물 제작 (A Novel Fabrication Method of the High-Aspect-Ratio Nano Structure (HAR-Nano Structure) Using a Nano X-Ray Shadow Mask)

  • 김종현;이승섭;김용철
    • 대한기계학회논문집A
    • /
    • 제30권10호
    • /
    • pp.1314-1319
    • /
    • 2006
  • This paper describes the novel fabrication method of the high-aspect-ratio nano structure which is impossible by conventional method using a shadow mask and a Deep X-ray Lithography (DXRL). The shadow mask with $1{\mu}m-sized$ apertures is fabricated on the silicon membrane using a conventional UV-lithography. The size of aperture is reduced to 200nm by accumulated low stress silicon nitride using a LPCVD (low pressure chemical vapor deposition) process. The X-ray mask is fabricated by depositing absorber layer (Au, $3{\mu}m$) on the back side of nano shadow mask. The thickness of an absorber layer must deposit dozens micrometers to obtain contrast more than 100 for a conventional DXRL process. The thickness of $3{\mu}m-absorber$ layer can get sufficient contrast using a central beam stop method, blocking high energy X-rays. The nano circle and nano line, 200nm in diameter in width, respectively, were demonstrated 700nm in height with a negative photoresist of SU-8.

시판 보건용 마스크의 인터넷 조사 및 비교 착용 실험 (An Internet Survey and Comparative Wearing Test of Commercial Health Masks)

  • 이경화;송하영
    • 한국의류학회지
    • /
    • 제48권3호
    • /
    • pp.417-432
    • /
    • 2024
  • This study aimed to enhance the comfort of health masks by conducting in-depth interviews, online surveys, and wearing tests on commercial health masks. The findings are summarized below. In-depth interviews revealed that the most comfortable mask styles were ranked as follows: Beak-1 (B-1) & Beak-2 (B-2) style > Flat style > 3-Horizontal foldable (3H) style. Men generally preferred flat style masks, while women favored beak-shaped masks. The internet survey results showed that 77.8% of surveyed brands offered a variety of mask styles, with 3H and B-2 masks being the most common. Different brands provided masks with different filtration levels, ranging from KF-AD to KF94. Size consistency also varied among brands, with flat masks having relatively consistent dimensions and B-2 masks showing significant size differences. Wearing tests indicated that 3H and B-1 masks were highly satisfying for categories like "itchiness," "unpleasant odor," and "mask slipping." Conversely, flat masks scored the highest satisfaction in classifications such as "stiff," "heavy," "thick," "hot while wearing it," "moisture accumulation," "breathing discomfort," "short ear straps," and "itchiness". Overall, the flat style, B-1, and B-2 yielded higher satisfaction levels, while 4-Horizontal foldable (4H) and 3H garnered lower satisfaction scores on wearing tests.