• Title/Summary/Keyword: 질화실리콘

Search Result 137, Processing Time 0.024 seconds

Properties of Silicon Nitride Deposited by LF-PECVD with Various Thicknesses and Gas Ratios (가스비와 두께 가변에 따른 실리콘질화막의 특성)

  • Park, Je-Jun;Kim, Jin-Kuk;Lee, Hi-Deok;Kang, Gi-Hwan;Yu, Gwon-Jong;Song, Hee-Eun
    • 한국태양에너지학회:학술대회논문집
    • /
    • 2011.11a
    • /
    • pp.154-157
    • /
    • 2011
  • Hydrogenated silicon nitride deposited by LF-PECVD is commonly used for anti-reflection coating and passivation in silicon solar cell fabrication. The deposition of the optimized silicon nitride on the surface is elemental in crystalline silicon solar cell. In this work, the carrier lifetimes were measured while the thicknesses of $SiN_x$ were changed from 700 ${\AA}$ to 1150 ${\AA}$ with the gas flow of $SiH_4$ as 40 sccm and $NH_3$ as 120 sccm,. The carrier lifetime enhanced as the thickness of $SiN_x$ increased due to improved passivation effect. To study the characteristics of $SiN_x$ with various gas ratios, the gas flow of $NH_3$ was changed from 40 sccm to 200 sccm with intervals of 40 sccm. The thickness of $SiN_x$ was fixed as 1000 ${\AA}$ and the gas flow of $SiH_4$ as 40 sccm. The refractive index of SiNx and the carrier lifetime were measured before and after heat treating at $650^{\circ}C$ to investigate their change by the firing process in solar cell fabrication. The index of refraction of SiNx decreased as the gas ratios increased and the longest carrier lifetime was measured with the gas ratio $NH_3/SiH_4$ of 3.

  • PDF

Measurements of the Adhesion Energy of CVD-grown Monolayer Graphene on Dielectric Substrates (단일층 CVD 그래핀과 유전체 사이의 접착에너지 측정)

  • Bong Hyun Seo;Yonas Tsegaye Megra;Ji Won Suk
    • Composites Research
    • /
    • v.36 no.5
    • /
    • pp.377-382
    • /
    • 2023
  • To enhance the performance of graphene-based devices, it is of great importance to better understand the interfacial interaction of graphene with its underlying substrates. In this study, the adhesion energy of monolayer graphene placed on dielectric substrates was characterized using mode I fracture tests. Large-area monolayer graphene was synthesized on copper foil using chemical vapor deposition (CVD) with methane and hydrogen. The synthesized graphene was placed on target dielectric substrates using polymer-assisted wet transfer technique. The monolayer graphene placed on a substrate was mechanically delaminated from the dielectric substrate by mode I fracture tests using double cantilever beam configuration. The obtained force-displacement curves were analyzed to estimate the adhesion energies, showing 1.13 ± 0.12 J/m2 for silicon dioxide and 2.90 ± 0.08 J/m2 for silicon nitride. This work provides the quantitative measurement of the interfacial interactions of CVD-grown graphene with dielectric substrates.

Characteristics of reoxidation of nitried oxide for gate dielectric of charge trapping NVSM (전하트랩형 NVSM의 게이트 유전막을 위한 질화산화막의 재산화특성에 관한 연구)

  • 이상은;한태현;서광열
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.11 no.5
    • /
    • pp.224-230
    • /
    • 2001
  • The characteristics of $NO/N_2O$ annealed reoxidized nitrided oxide being studied as super thin gate oxide and gate dielectric layers of Non-Volatile Semiconductor Memory (NVSM) were investigated by Dynamic Secondary Ion Mass Spectrometry (D-SIMS), Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS), and Auger Electron Spectroscopy (AES). The specimen was annealed by $NO/N_2O$ after initial oxide process and then rcoxidized for nitrogen redistribution in nitrided oxide. Out-diffusion of incorporated nitrogen during the wet oxidation in reoxidation process took place more strongly than that of the dry oxidation. It seems to indicate that hydrogen plays a role in breaking the Si N bonds. As reoxidation proceeds, incorporated nitrogen of $NO/N_2O$ annealed nitrided oxide is obsen-ed to diffuse toward the surface and substrate at the same time. ToF-SIMS results show that SiON species are detected at the initialoxide interface, and Si,NO species near the new $Si_2NO$ interface that formed after reoxidation. These SiON and $Si_2NO$ species most likely to relate to the origin of the state of memory charge traps in reoxidized nitrided oxide, because nitrogen dangling bonds of SiON and silicon dangling bonds of $Si_2NO$ are contained defects associated with memory effect.

  • PDF

Characteristics of Shallow $P^{+}$-n Junctions Including the FA Process after RTA (RTA 후 FA 공정을 포함한 $P^{+}$-n 박막 접합 특성)

  • Han, Myeong-Seok;Kim, Jae-Yeong;Lee, Chung-Geun;Hong, Sin-Nam
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.39 no.5
    • /
    • pp.16-22
    • /
    • 2002
  • This paper suggests the optimum processing conditions for obtaining good quality $P^{+}$-n shallow junctions formed by pre-amorphization and furnace annealing(FA) to reflow BPSG(bore phosphosilicate glass). $BF_2$ions, the p-type dopant, were implanted with the energy of 20keV and the dose of 2$\times$10$^{15}$ cm$^{-2}$ into the substrates pre-amorphized by As or Ge ions with 45keV, 3$\times$$10^{14}$ $cm^{-2}$. High temperature annealings were performed with a furnace and a rapid thermal annealer. The temperature range of RTA was 950~$1050^{\circ}C$, and the furnace annealing was employed for BPSG reflow with the temperature of $850^{\circ}C$ for 40 minutes. To characterize the formed junctions, junction depth, sheet resistance and diode leakage current were measured. Considering the preamorphization species, Ge ion exhibited better results than As ion. Samples preamorphized with Ge ion and annealed with $1000^{\circ}C$ RTA showed the most excellent characteristics. When FA was included, Ge preamorphization with $1050^{\circ}C$ RTA plus FA showed the lowest product of sheet resistance and junction depth and exhibited the lowest leakage currents.

Atomic Layer Depositied Tungsten Nitride Thin Films as Diffusion Barrier for Copper Metallization

  • Hwang, Yeong-Hyeon;Lee, In-Hwan;Jo, Byeong-Cheol;Kim, Yeong-Hwan;Jo, Won-Ju;Kim, Yong-Tae
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.145-145
    • /
    • 2012
  • 반도체 집적회로의 집적도가 증가함에 따라 RC delay가 증가하며, 금속 배선의 spiking, electromigration 등의 문제로 인해 기존의 알루미늄 금속을 대체하기 위하여 구리를 배선재료로 사용하게 되었다. 하지만 구리는 실리콘 및 산화물 내에서 매우 빠른 확산도를 가지고 있으므로, 구리의 확산을 막아 줄 확산방지막이 필요로 하다. 이러한 확산방지막의 증착은, 소자의 크기가 작아짐에 따라 via/contact과 같은 고단차 구조에도 적용이 가능하도록 기존의 sputtering 증착 방법에서 이를 개선한 collimated sputter, long-throw sputter, ion-metal plasma 등의 방법으로 물리적인 증착법이 지속되어 왔지만, 근본적인 증착방법을 바꾸지 않는 한 한계에 도달하게 될 것이다. 원자층 증착법(ALD)은 CVD 증착법의 하나로, 소스와 반응물질을 주입하는 시간을 분리함으로써 증착하고자 하는 표면에서의 반응을 유도하여 원자층 단위로 원하는 박막을 얻을 수 있는 증착방법이다. 이를 이용하여 물리적 증기 증착법(PVD)보다 우수한 단차피복성과 함께 정교하게 증착두께를 컨트롤을 할 수 있다. 본 연구에서는 이러한 원자층 증착법을 이용하여 구리 배선을 위한 확산방지막으로 텅스텐질화막을 형성하였다. 텅스텐 질화막을 형성하기 위하여 금속-유기물 전구체와 함께 할라이드 계열인 WF6를 텅스텐 소스로 이용하였으며, 이에 대한 원자층 증착방법으로 이루어진 박막의 물성을 비교 평가하여 분석하였다.

  • PDF

Characterization of AlN Thin Films Grown by Plasma Assisted Molecular Beam Epitaxy on Si Substrate (실리콘 기판위에 플라즈마 분자선 에피택시를 이용하여 성장된 질화알루미늄 박막의 특성분석)

  • 홍성의;한기평;백문철;조경익;윤순길
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.10
    • /
    • pp.828-833
    • /
    • 2000
  • Growth characteristics and microstructure of AIN thin films grown by plasma assisted molecular beam epitaxy on Si substrates have been investigated. Growing temperature and substrate orientation were chosen as major variables of the experiment. Reflection high energy electron diffraction (RHEED), X-ray diffraction (XRD), atomic force microscopy (AFM) and transmission electron microscopy/diffraction (TEM/TED) techniques were employed to characterize the micorstructure of the films. On Si(100) substrates, AlN thin films were grown along the hexagonal c-axis preferred orientation at temperature range 850-90$0^{\circ}C$. However on Si(111), the AlN films were epitaxially grown with directional coherency in AlN(0001)/Si(111), AlN(1100)/Si(110), and AlN(1120)/Si(112) at 85$0^{\circ}C$ and the epitaxial coherencry seemed to be slightly distorted with increasing temperature. The microstructure of AlN thin films on Si(111) substrates showed that the films include a lot of crystal defects and there exist micro-gaps among the columns.

  • PDF

The oxidation of silicon nitride layer (실리콘 질화막의 산화)

  • 정양희;이영선;박영걸
    • Electrical & Electronic Materials
    • /
    • v.7 no.3
    • /
    • pp.231-235
    • /
    • 1994
  • The multi-dielectric layer $SiO_2$/$Si_3{N_4}$/$SiO_2$ (ONO) is used to improve charge retention and to scale down the memory device. The nitride layer of MNOS device is oxidize to form ONO system. During the oxidation of the nitride layer, the change of thickness of nitride layer and generation of interface state between nitride layer and top oxide layer occur. In this paper, effects of oxidation of the nitride layer is studied. The decreases of the nitride layer due to oxidation and trapping characteristics of interface state of multi layer dielectric film are investigated through the C-V measurement and F-N tunneling injection experiment using SONOS capacitor structure. Based on the experimental results, carrier trapping model for maximum flatband voltage shift of multi layer dielectric film is proposed and compared with experimental data. As a results of curve fitting, interface trap density between the top oxide and layer is determined as being $5{\times}10^11$~$2{\times}10^12$[$eV^1$$cm^2$].

  • PDF

Fabrication and Characteristics of a-Si : H TFT for Image Sensor (영상센서를 위한 비정질 실리콘 박막트랜지스터의 제작 및 특성)

  • Kim, Young-Jin;Park, Wug-Dong;Kim, Ki-Wan;Choi, Kyu-Man
    • Journal of Sensor Science and Technology
    • /
    • v.2 no.1
    • /
    • pp.95-99
    • /
    • 1993
  • a-Si : H TFTs for image sensor have been fabricated and their operational characteristics have been investigated. Hydrogenated amorphous silicon nitride(a-SiN : H) films were used for the gate insulator and $n^{+}$-a-Si : H films were depostied for the source and drain contact. The thicknesses of a-SiN : H and a-Si : H films were $2000{\AA}$, respectively and the thickness of $n^{+}$-a-Si : H film was $500{\AA}$. Also the channel length and channel width of a-Si : H TFTs were $50{\mu}m$ and $1000{\mu}m$, respectively. The ON/OFF current ratio, threshold voltage, and field effect mobility of fabricated a-Si : H TFTs were $10^{5}$, 6.3 V, and $0.15cm^{2}/V{\cdot}s$, respectively.

  • PDF

Preparation and Properties of Silicon Nitride Ceramics by Nitrided Pressureless Sintering (NPS) Process (Nitrided Pressureless Sintering 공정을 이용한 질화규소 세라믹스의 제조 및 특성)

  • Cheon, Sung-Ho;Han, In-Sub;Chung, Yong-Hee;Seo, Doo-Won;Lee, Shi-Woo;Hong, Kee-Soeg;Woo, Sang-Kuk
    • Journal of the Korean Ceramic Society
    • /
    • v.41 no.12 s.271
    • /
    • pp.893-899
    • /
    • 2004
  • The mechanical properties and microstructure and thermal properties of Nitrided Pressureless Sintering(NPS) silicon nitride ceramics, containing three type of $Al_{2}O_3,\;Y_{2}O_3$ sintering additives, were investigated. Also, we have investigated the effect of silicon metal content changing with 0, 5, 10, 15, and $20wt\%$ Si in each composition. In $5wt\%\;Al_{2}O_3,\;5wt\%\;Y_{2}O_3,\;and\;5wt\%$ Si composition, silicon nitride sintered body was successfully densified to a high density. The average 4-point flexural strength and relative density of these specimens were 500 MPa and 98% respectively. Also, Thermal expansion coefficient and thermal conductivity of specimens at room temperature were $2.89{\times}10^{-6}/^{\circ}C\;and\;28W/m^{\circ}C$, respectively. The flexural strength of sintered specimens after thermal shock test of 20,000 cycles was maintained as-received value of 500 MPa.

Physical and Electrical Characteristics of Wet Oxidized LPCVD Silicon Nitride Films (습식 산화한 LPCVD Silicon Nitride층의 물리적, 전기적 특성)

  • Lee, Eun-Gu;Park, Jin-Seong
    • Korean Journal of Materials Research
    • /
    • v.4 no.6
    • /
    • pp.662-668
    • /
    • 1994
  • The physical and electrical characteristics of sub-l0nm thick capacitor dielectrics formed by wet oxidation of silicon nitride(oxide/nitride composite) and by removing the top oxide of oxidized silicon nitride(0xynitride) are described. For the capacitors with an oxide/nitride composite layer, the capacitance decreases sharply, but the breakdown field increases with an increase in the wet oxidation time at $900^{\circ}C$. For the capacitors with oxynitride layers, the values of both the capacitance and the breakdown field increase with increasing wet oxidation time. The reduction of effective thickness and the improved quality of oxynitride film are responsible for the improved capacitance and increased breakdown fields, respectively. In addition, intrinsic TDDB characteristics and early breakdown failure rate of oxynitride film are improved with increasing oxidation time. Consequently, the oxynitride film is suitable for dynamic memories as a thin dielectric film.

  • PDF