• Title/Summary/Keyword: 이온 식각

검색결과 275건 처리시간 0.022초

초음속 마이크로노즐에 적합한 프로파일을 위한 공정변수의 최적화

  • 송우진;정규봉;천두만;안성훈;이선영
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 춘계학술발표대회
    • /
    • pp.38.2-38.2
    • /
    • 2009
  • 마이크로노즐은 우주공간에서 인공위성의 자세를 바로잡는 데 필요한 마이크로 로켓에 들어가는 필수적인 부품이다. 마이크로 노즐은 또한 나노입자 적층 시스템(nano-particle deposition system, NPDS)에 들어갈 수 있다. NPDS는 세라믹 또는 금속 나노분말 입자를 노즐을 통해 초음속으로 가속시킨 뒤 상온에서 이를 기판에 적층시키는 새로운 시스템이다. 본 연구의 목표는 NPDS에 쓰이는 노즐을 일반적인 반도체 공정을 이용하여 마이크론 스케일의 목을 갖도록 한 마이크로노즐을 제작하는 데 있다. 보쉬 공정은 이러한 마이크로노즐을 제작하는데 필수적인 공정으로, 유도결합플라즈마를 이용해 실리콘 웨이퍼를 식각시키는 기술을 말한다. 보쉬 공정에 사용되는 플라즈마 기체는 $SF_6$$C_4F_8$인데, 이 두 가지 기체를 번갈아가면서 사용하여 실리콘 웨이퍼를 이방성 식각하는 것이 그 특징이다. 보쉬 공정에는 다양한 변수가 존재하며 이를 적절히 통제하면 마이크로노즐에 적합한 프로파일을 실리콘 웨이퍼 내에 형성시킬 수 있다. 본 연구에서는 보쉬 공정을 이용하여 3차원 마이크로 노즐을 제작하였다. 기존에 반응성이온식각(deep reactive ion etching, DRIE) 공정을 통해 마이크로노즐을 제작한 사례가 많이 보고되었지만 이들은 모두 2차원적으로 마이크로노즐을 제작하였다. 2차원적으로 제작한 마이크로노즐은 마이크로 로켓에 주로 사용되었지만, 초음속으로 가속된 분말이 노즐의 형상으로 인한 유체 흐름의 불안정성 때문에 NPDS에서는 오래도록 사용할 수 없다는 문제점이 있다. 그러므로 본 연구에서는 마이크로노즐을 3차원 형상으로 제작함으로써 이러한 문제점을 해결하고자 하였다.

  • PDF

집속이온빔의 공정조건이 실리콘 가공에 미치는 영향 (The Parametric Influence on Focused Ion Beam Processing of Silicon)

  • 김준현;송춘삼;김종형;장동영;김주현
    • 한국공작기계학회논문집
    • /
    • 제16권2호
    • /
    • pp.70-77
    • /
    • 2007
  • The application of focused ion beam(FIB) technology has been broadened in the fabrication of nanoscale regime. The extended application of FIB is dependent on complicated reciprocal relation of operating parameters. It is necessary for successful and efficient modifications on the surface of silicon substrate. The primary effect by Gaussian beam intensity is significantly shown from various aperture size, accelerating voltage, and beam current. Also, the secondary effect of other process factors - dwell time, pixel interval, scan mode, and pattern size has affected to etching results. For the process analysis, influence of the secondary factors on FIB micromilling process is examined with respect to sputtering depth during the milling process in silicon material. The results are analyzed by the ratio of signal to noise obtained using design of experiment in each parameter.

$CHF_3/C_2F_6$ 반응성이온 건식식각에 의해 변형된 실리콘 표면의 열적 거동에 관한 연구 (Thermal behavior of modified silicon surface by $CHF_3/C_2F_6$ reactive ion etching)

  • 박형호;권광호;곽병화;이중환;이수민;권오준;김보우;성영권
    • 한국재료학회지
    • /
    • 제2권1호
    • /
    • pp.35-42
    • /
    • 1992
  • 실릴콘 산화막을 $CHF_3/C_2F_6$ 혼합가스를 사용하여 반응성이온 건식식각을 행할 때 실리콘 표면에 형성되는 잔류막과 손상충의 열적 거동을 X-선 광전자 분광기(XPS)와 이차이온 질량 분석기 (SIMS)를 사용, 연구하였다. 저항가열을 통한 in-situ 분석에 의해 폴리머 잔류막은 $200^{\circ}C$부터 분해가 시작되고 $400^{\circ}C$ 이상의 가열에서는 graphite 형태의 탄소 결합체를 형성하며 분해됨을 알았다. 질소 분위기하의 급속 열처리를 통해 잔류막의 열분해는 $800^{\circ}C$ 이상에서 완료되고 손상층을 형성하는 침투 불순원소의 기판 외부로의 확산이 관찰되었다.

  • PDF

$CHF_3/C_2F_6$ 반응성이온 건식식각에 의한 실리콘 표면의 변형에 관한 연구 (A study on a silicon surface modification by $CHF_3/C_2F_6$ reactive ion etching)

  • 박형호;권광호;곽병화;이수민;권오준;김보우;성영권
    • 한국재료학회지
    • /
    • 제1권4호
    • /
    • pp.214-220
    • /
    • 1991
  • 실리콘 산화막을 $CHF_{3/}C_2F_6$ 혼합가스를 사용하여 반응성이온 건식식각을 행할 때 실리콘 표면에 형성되는 잔류막과 손상층을 X-선 광전자 분광기(XPS)와 이차이온 질량 분석기(SIMS)를 사용, 연구하였다. 실리콘, 탄소, 산소 및 불소의 angle-resolved XPS분석기술을 이용한 비파괴적 화학결합상태의 깊이분포 분석을 통하여 잔류막의 표면부에 O-F 결합이 존재하며 잔류막은 주로 탄소와 불소의 결합체인 C-F 플리머로 구성되어져 있고 Si-O, Si-C 및 Si-F 결합 등이 존재함을 알았다. 손상층은 실리콘 표면에서 약 60nm 깊이까지 탄소와 불소의 침투에 의해 형성되어져 있음을 알았다.

  • PDF

실리콘 이온주입 SiO2층의 나노결정으로 부터의 광루미네센스 (Photoluminescence from silicon nanocrystals in silicon ion implanted SiO2 layers)

  • 김광희;오항석;장태수;권영규;이용현
    • 센서학회지
    • /
    • 제11권3호
    • /
    • pp.183-190
    • /
    • 2002
  • 실리콘 기판 위에 형성한 열산화막에 실리콘이온을 주입하고 열처리를 수행한 후, 광루미네센스(photoluminescence:PL) 스펙트럼을 조사하였다. 실리콘 이온도즈의 변화와 열처리 온도의 변화에 따른 PL스펙트럼을 조사하고, 이를 TEM과 XRD 데이터와 비교하여 분석한 결과, 광루미네센스 특성은 산화막내의 실리콘 나노결정으로부터 기인함을 알 수 있었다. 또 산화막을 1분 간격으로 습식 식각하면서 매 식각 시마다 PL스펙트럼을 관측하여 그 변화를 조사하였다. 이러한 실험을 통하여 산화막내에 분포하고 있는 실리콘 나노결정의 크기와 그 수가 PL피크 파장과 강도에 직접적으로 영향을 줌을 알 수 있었다.

LCD 공정용 C3F6 가스를 이용한 Si3N4 박막 식각공정 및 배출가스에 관한 연구 (A Study on Etching of Si3N4 Thin Film and the Exhausted Gas Using C3F6 Gas for LCD Process)

  • 전성찬;공대영;표대승;최호윤;조찬섭;김봉환;이종현
    • 한국진공학회지
    • /
    • 제21권4호
    • /
    • pp.199-204
    • /
    • 2012
  • $SF_6$ 가스는 반도체 및 디스플레이 제조공정 중 건식식각 공정에서 널리 사용되는 가스이다. 하지만 $SF_6$ 가스는 대표적인 온실가스로서 지구 온난화에 큰 영향을 끼치기 때문에 반도체 및 디스플레이 공정에서 $SF_6$ 가스를 대체할 수 있는 가스의 연구가 필요한 상황이다. 그 후보군으로 떠오르고 있는 가스 중의 하나가 바로 $C_3F_6$ 가스이다. 이 가스를 이용하여 $Si_3N_4$ 박막을 건식식각 방법인 Reactive Ion Etching 공정을 수행하여 식각 특성에 관하여 연구하였으며, 흡착제 Zeolite 5A를 이용하여 식각공정 중 배출되는 가스 성분을 감소시켰다. Plasma Enhanced Chemical Vapor Deposition 장비를 이용하여 500 nm 두께의$Si_3N_4$ 박막을 증착하였으며, 노광 공정을 통해 패터닝을 한 후 Reactive Ion Etching 공정을 수행하였다. 그리고 Scanning Electron Microscope 장비를 이용하여 $Si_3N_4$ 박막의 식각된 단면과 식각율을 확인하였다. 또한 공정 후 흡착제 Zeolite 5A를 통과하기 전과 후에 배출되는 가스를 포집하여 Gas Chromatograph-Mass Spectrophotometry 장비를 이용하여 가스 성분을 측정 및 비교하였다.

유도결합형 플라즈마 반응성 이온식각 장치를 이용한 SrBi$_2$Ta$_2$O$_9$ 박막의 물리적, 전기적 특성 (Physical and Electrical Characteristics of SrBi$_2$Ta$_2$O$_9$ thin Films Etched with Inductively Coupled Plasma Reactive Ion Etching System)

  • 권영석;심선일;김익수;김성일;김용태;김병호;최인훈
    • 마이크로전자및패키징학회지
    • /
    • 제9권4호
    • /
    • pp.11-16
    • /
    • 2002
  • 본 연구에서는 $SrBi_2Ta_2O_9$ (SBT)박막의 고속식각에 따른 잔류물질 및 식각 손상의 영향을 조사하였다. ICP-RIE (inductively coupled plasma reactive ion etching) 의 ICP power와 CCP(capacitively coupled plasma) power를 변화시키면서 고속식각에 따른 박막의 손상과 열화를 XPS 분석과 Capacitance-Voltage (C-V) 측정을 통하여 알아보았다. ICP와 CCP의 power가 증가함에 따라 식각율이 증가하였고 ICP power가 700 W, CCP power가 200 W 일때 식각율은 900$\AA$/min이었다. 강유전체의 건식식각에 있어서 문제점이 플라즈마에 의한 강유전체 박막의 열화인데 반응가스 $Ar/C1_2/CHF_3$를 20/14/2의 비율로 사용하고 ICP와 CCP power를 각각 700w와 200w로 사용하였을 때 전혀 열화되지 않는 강유전체 박막의 특성을 얻을 수 있었다. 본 연구 결과는 Metal-Ferroelectric-Semiconductor (MFS) 또는 Metal-Ferroelectric-Insulator-Semiconductor (MFIS) 구조를 가지는 단일 트랜지스터형 강유전체 메모리 소자를 만드는데 건식 식각이 응용될 수 있음을 보여준다

  • PDF

항만운영정보시스템의 데이터전송방식 개선에 관한 연구

  • 김칠호;박남규;최형림
    • 한국항해항만학회:학술대회논문집
    • /
    • 한국항해항만학회 1999년도 추계학술대회논문집
    • /
    • pp.187-197
    • /
    • 1999
  • 해양수산부가 개발 .운영 중인 항만운영정보시스템(PORT-MIS)은 선박입출항 관련 업무, 수출입 화물 반출입에 관한 업무, 항만시설물관리에 관한 업무, 의사결정지원시스템에 관한 업무 등 크게 4개 업무오 구성되어 있으며, 총 19개의 전자문서와 1,500여개의 단위 프로그램으로 구성되어 있다. 그동안 PORT-MIS를 권역별로 확대 운영하면서 발생한 여러 가지 문제점들을 보완하기 위해 해양수산부와 정보통신부(한국전산원)가 공동으로 $\ulcorner$수출입화물 일괄처리시스템 구축$\lrcorner$ 용역 사업을 현재 진행 중에 있다. 본 연구는 용역과업 내용에 포함되어 있지 않으면서 개선이 필요한 외항선(국전선.외국전선 포함) 선박입항보고서(최초.변경.최종)와 선박출항보고서(최초.변경.최종), 내항선입.출항신고서, 예선사용허가신청서 및 지정서, 도선사용허가신청서 및 지정서 등의 민원업무를, 사용자로 하여금 최소한의 노력으로 처리할 수 있도록 제출방법을 개선(EDI방식에서 온라인방식으로)하여 행정소요시간을 단축함으로써 PORT-MIS의 효율성을 높일 수 있는 방안을 제시하고자 한다. 이러한 노력을 통해 PORT-MIS EDI업무가 개선되어 선박입.출항보고를 1회롤 처리할 수 있다면 연간 29만9천건의 서류절감으로 약 1억3천7백만원의 물류비를 줄일 수 있으며, 시간 단축에 따른 간접비용을 계산하면 보다 많은 효과가 있다고 판단된다. 그리고 내항선입.출항신고서 및 예.도선업무를 EDI방식에서 온라인방식으로 전환함으로써 선사와 예선업체 및 도선사협회가 대화형식으로 업무처리가 이루어져 분쟁을 최소화 할 수 있다면, 전자문서 31만6천건/년 절감으로 1억3백만원/년의 예산이 절감될 것으로 예상된다.rr로 변화시켰고 inductive power는 200~800watt, bias voltage는 0~-200voltage로 변화시켰으며 식각마스크로는 SiO2를 patterning 하여 사용하였다. n-GaN, p-GaN 층 이외에 광소자 제조시 필수적인 InGaN 층을 100% Cl2로 식각한 경우에 InGaN의 식각속도가 GaN에 비해 매우 낮은 식각속도를 보였다. Cl2 gas에 소량의 CH4나 Ar gas를 첨가하는 경우와 공정압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%Ar 플라즈마에서 공정 압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%CHF3 와 Cl2/10%Ar 플라즈마에서 공정압력을 15mTorr로 감소시키는 경우 InGaN과 GaNrks의 선택적인 식각이 가능하였다. InGaN의 식각속도는 Cl2/Ar 플라즈마의 이온에 의한 Cl2/CHF3(CH4) 플라즈마에서의 CHx radical 형성에 의하여 증가하는 것으로 사료되어 진다.$ 이상을 나타내었다. 박막의 Sn/In atomic ratio는 0.12, O/In의 비율은 In2O3의 화학양론적 비율인 1.5보다 작은 1.3을 나타내었다.로 보인다.하면 수평축과 수직축의 분산 장벽의 비에 따라 cluster의 두께비가 달라지는 성장을 볼 수 있었고, 한 축 방향으로의 팔 넓이는 fcc(100) 표면의 경우 동일한 Ed+Ep값에 대응하는 팔 넓이와 거의 동일한 결과가 나타나는 것을 볼 수 있다. 따라서 이러한 비대칭적인 모양을 가지는 성장의 경우도 cluster 밀도, cluster 모양, cluster의 양 축 방향 길이 비, 양 축 방향의 평균 팔 넓이로부터 각 축 방향의 분산 장벽을 얻어낼 수 있을 것으로 보인다. 기대할 수 있는 여러

고밀도 칩 신뢰성 개선을 위한 buffered deposition 소자구조에 관한 연구 (A Study on Buffered Deposition Device Structure to Improvement for High Density Chip Realiability)

  • 김환석;이천희
    • 한국시뮬레이션학회논문지
    • /
    • 제17권2호
    • /
    • pp.13-19
    • /
    • 2008
  • 본 연구에서는 드레인 부근의 채널 영역에서 접합 전계를 줄이는 Buffered deposition 구조의 소자를 제안하였다. Buffered deposition 구조의 소자 제작은 첫 번째 게이트를 식각한 후에 NM1(N-type Minor1) 이온주입을 하고 다시 HLD막과 질화막을 덮어 식각하여 제작하였다. 이러한 Buffered deposition 구조는 전계를 줄이기 위한 버퍼층으로 되어 있으며 Buffered deposition 소자의 여러 가지 구조의 Hot carrier 수명을 비교하였으며 열화 특성도 분석하여 10년간의 Hot carrier 수명을 만족함을 증명하였다.

  • PDF

Two-Dimensional DC Magnetron Sputtering Simulator for Cylindrical Rotating Target

  • 김진석;이정열;김민경;이해준
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.454-454
    • /
    • 2012
  • Magnetron sputtering에서, 영구자석의 자속은 target 표면 가까이에 전자를 구속한다. 구속된 전자는 Ar중성기체와 충돌하여 Ar이온을 발생시킬 수 있으므로, target 근처에서의 플라즈마 밀도를 높여, 자석이 없을 때보다 낮은 압력 또는 낮은 전압에서 방전할 수 있다. 구속 전자가 밀집된 공간에서 sputtering 현상이 주로 발생하기 때문에, planar target을 사용할 경우에는 target이 불균일하게 식각되어 target의 사용효율이 좋지 못하다. 이에 대한 한 가지 대안은 target을 원통형으로 만들어 회전시키는 것이다. Cylindrical target 의 내부에 위치한 영구자석은 고정시키고, target만을 회전시키면 비교적 균일하게 식각되므로 target의 사용효율을 높일 수 있다. 본 연구에서는 기존의 planar target에 대한 Particle-In-Cell Simulation을 Cylindrical target 에 적용시키기 위한 방법을 알아본다. 또한, 개발된 Simulator를 이용하여, Sputtering 조건의 변화에 대한 I-V curve의 변화를 살펴본다.

  • PDF