• 제목/요약/키워드: 이온식각

검색결과 275건 처리시간 0.028초

유리기판의 광추출 효율 향상을 위한 마스크 제작 공정 없는 플라즈마 식각 연구

  • 서동완;권오형;이우현;김지원;황기웅
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.507-507
    • /
    • 2013
  • 유리기판으로 투과되는 빛들 중에는 내부 전반사나 wave-guided mode로 인하여 손실이 일어나 일반적으로 20%의 광추출 효율을 가진다. 이러한 문제점을 해결하기 위한 연구에는 Photonic Crystal과 같은 주기적인 나노 구조물이 있는데 이러한 구조물을 제작하기 위한 마스크 공정 과정은 대부분 복잡하거나 비싼 단점이 있다. 이에 본 발표에서는 마스크 없이 비정질소다라임 유리의 구조물 생성으로 광 추출 효율이 상승하는지 보고자 하였다. M-ICP (Magnetized-Induced Coupled Plasma)란 용량 결합형 플라즈마와 유도 결합형 플라즈마 두 가지 방식의 플라즈마를 이용한 것인데 용량 결합형 플라즈마를 이용해 이온이 sheath에 의해 가속되어 유리표면에 부딪히고 그에 따라 유리가 식각되는 물리적 식각을 이용하였다. 또한 이온의 밀도를 조절하기 위해 유도결합형 플라즈마 방식을 이용하여 식각률을 높였다. 화학적 식각을 위해서는 CF4와 O2혼합 가스를 이용해 F가 Si와 결합하여 SiF4가 되어 사라지고 탄소잔여물인 C는 O2와 반응하여 제거하였다. 그 결과, 랜덤한 분포를 가지는 미세한 구조물(stochastic sub-wavelength structure)을 유리 표면에 형성할 수 있었고, 또한 다양한 가스 종류와 압력, source power와 bias power, 그리고 시간을 바꿔가며 미세 구조물들을 관찰하였다. 실험 결과, 가시광선 파장 이하의 높이를 갖고 수 마이크로미터의 너비를 갖는 구조물이 전반사되는 빛을 효율적으로 추출하는 것을 산란되는 빛의 정도인 diffusive transmittance 가 기존 0%에서 15% 정도로 증가하는 것으로 스펙트로포토미터 측정을 통해 확인하였다. 이러한 유리 기판 위 구조물 생성방법을 OLED에 적용한다면 적은 비용으로 소자의 효율을 크게 향상 시킬 수 있을 것이다. 또한 본 처리 과정의 장점은 기존의 방법에 필요한 스퍼터링이나 RTA 처리 과정이 필요 없어 공정 단가 절감과 제조 공정의 단순화로 높은 생산성을 얻을 수 있으며 대면적화에도 유리하다.

  • PDF

Low-Angle Forward Reflected Neutral Beam Etching을 이용한 Aspect-Ratio-Dependent Etching 현상의 제거 (Removal of Aspect-Ratio-Dependent Etching by Low-Angle Forward Reflected Neutral-Beam Etching)

  • 민경석;박병재;염근영;김성진;이재구
    • 한국진공학회지
    • /
    • 제15권4호
    • /
    • pp.387-394
    • /
    • 2006
  • 본 연구에서는 반응성 이온빔을 low-angle forward reflection으로 생성시킨 중성빔을 이용하여 Aspect Ratio Dependent Etching (ARDE) 현상이 제거되는 효과에 대하여 연구하였다. SF6 가스를 사용하여 Inductively Coupled Plasma system과 이온빔으로 각각 poly-Si 을 식각한 결과 ARDE 현상을 관찰할 수 있었으며, Si 기판위에 증착된 Poly-Si을 식각하는 것보다 $SiO_2$ 기판 위에 증착된 Poly-Si을 식각하는 것이 ARDE 현상이 더 많이 나타난다는 것을 관찰할 수 있었다. 반면에 같은 공정 조건에서 중성빔으로 poly-Si을 식각한 결과 이러한 ARDE 현상이 효과적으로 제거되었음을 관찰할 수 있었다. 중성빔을 이용하여 ARDE 현상이 제거되는 원리는 2 차원의 XOOPIC code 와 TRIM code를 사용하여 여러가지 나노스케일의 형상을 컴퓨터 시뮬레이션하여 증명하였다.

건식 식각 공정을 위한 초고속 병렬 연산 시뮬레이터 개발 (Development of High Performance Massively Parallel Processing Simulator for Semiconductor Etching Process)

  • 이제희;권오섭;반용찬;원태영
    • 전자공학회논문지D
    • /
    • 제36D권10호
    • /
    • pp.37-44
    • /
    • 1999
  • 건식 식각 공정을 시뮬레이션하기 위하여, 플라즈마 챔버 내의 식각 이온 거동 메카니즘을 몬테카를로 수치해석 방식으로 구현하였고, 식각 이온의 거동에 의한 기판의 식각 형상을 확인하기 위하여 셀 방식의 표면 전진기를 개발하였다. 몬테카를로 수치 계산의 단점인 과다한 계산 시간을 효과적으로 감소시키기 위하여, CRAY T3E 병렬 컴퓨터와 여러대의 워크스테이션을 연결한 MPI 환경에서 몬테카를로 병렬 계산 알고리즘을 개발하였다. 본 연구에서 개발한 몬테카를로 병렬 계산 알고리즘은 95% 이상의 효율성을 보이며, 16개의 프로세서를 사용하였을 때 16의 스피드업(Speedup) 값을 얻었다. 또한 셀 방식의 병렬 연산 표면 전진기를 이용하여 토포그래피 시뮬레이션을 수행한 결과에서, 셀의 개수가 2갭만 개 일 때, 약 600Mb 이상의 메모리가 소요되므로 단일 워크스테이션 환경에서는 불가능한 계산이 본 연구에서 개발한 병렬 계산 알고리즘을 이용하였을 때 32개의 프로세서에서 15분의 계산시간이 소요되었다.

  • PDF

300 mm 웨이퍼용 식각 장비에서 병렬 안테나의 전류비 조절에 의한 식각 균일도 측정 (Etch rate uniformity control by current ratio of dual coil at 300 mm wafer etcher)

  • 홍광기;최지성;양원균;주정훈
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2011년도 춘계학술대회 및 Fine pattern PCB 표면 처리 기술 워크샵
    • /
    • pp.155-155
    • /
    • 2011
  • Dual coil을 사용하는 상용 AMAT DPS II 300 mm Centura 장비의 antenna의 전류비를 조절하여 $SiO_2$의 식각 균일도를 평가하였다. Inner turn과 outer turn의 흐르는 전류비를 분배 capacitor로 조절하여 16.9 %의 이온 전류 밀도 분포를 확인하였고, 투입 전력에 따라 200 W에서 12 %, 800 W에서 9 %로 점차 감소하는 경향을 확인하였다. 이때 300 mm wafer의 반지름 방향으로의 식각 균일도는 3 %로 측정되었고, FRC (flow ratio control)는 0.5에서 가장 균일한 결과를 얻었다.

  • PDF

고밀도 플라즈마를 이용한 TaN/$HfO_2$ 게이트 구조의 식각 특성 (Etching properties of TaN/$HfO_2$ gate structure by using high density plasma)

  • 김관하;김창일;장명수;이주욱;김상기;구진근;강진영
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 하계학술대회 논문집 Vol.8
    • /
    • pp.158-159
    • /
    • 2007
  • 반도체 소자의 공정에 있어서 device scaling으로 인한 게이트 산화막 대체 유전체 (high-k)의 공정 개발 확보 방안 필요하다. 본 논문에서는 $Cl_2$/Ar 유도 결합 플라즈마를 이용하여 $HfO_2$ 박막을 식각하였다. $Cl_2$(80 %)/Ar(20 %)의 가스비, 600 W의 RF 전력, -150 V의 직류 바이어스 전압, 20 sccm의 총 가스유랑, 15 mTorr의 압력에서 15.4 nm/min의 최대 식각률을 얻을 수 있었다. 식각 된 $HfO_2$ 박막 표면을 XPS 분석한 결과 Hf와 O는 Cl 라디칼과 반응을 하여 높은 휘발성을 보이지만 Hf-O의 안정된 결합으로 인하여 이온에 의한 스퍼터링 효과에 의해서 식각된다.

  • PDF

자화된 $SF_6$ 유도결합형 플라즈마를 이용한 SiC 식각 특성에 관한 연구

  • 이효영;김동우;박병재;염근영
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2003년도 춘계학술발표회 초록집
    • /
    • pp.14-14
    • /
    • 2003
  • Silicon carbide (SiC)는 높은 power 영역과 높은 온도영역에서도 작동 가능한 우수한 반도체 물질이다. 또한 우수한 열적 화학적, 안정성을 가지고 있어 가흑한 조건에서의 소자로써도 사용 가능하다. 현재 SiC 적용분야로는 우수한 전기적, 기계적 성질을 이용한 미세소자(MEMS)와 GaN 와 거의 유사한 격자상수를 가지는 것을 이용한 GaN epitaxial 성장의 기판으로도 사용되어진다. 그러나 SiC 는 기존의 습식식각 용매에 대해 화학적 안정성을 가지고 있기 때문에 전자소자의 제작에 있어서 플라즈마를 이용한 건식식각의 중요성이 대두되어지고 있다. 소자제작에 있어 이러한 건식식각시 식각 단면의 제어, 이온에 의한 낮은 손상 정도, 매끄러운 식각 표면, 그리고 고속의 식각 속도둥이 요구되어진다. 본 실험에서는 식각 속도의 증가와 수직한 식각 단면둥을 획득하기 위하여 SF6 플라즈마에서 Source power, dc bias voltage, 그리고 외부에서 인가되는 자속의 세기를 변화시쳐가며 식각 속도, 식각 마스크와의 식각 션택비, 식각 단면둥과 같은 SiC 의 식각 특성을 관찰하였다. 식각 후 식각 단면은 주사전자 현미경(SEM)을 통해 관찰하였다. 본 실험에서의 가장 높은 식각 속도는 분당 1850n 로써 이때의 공정조건은 1400W 의 inductive power, -600V 의 dc bias voltage, 20G 의 외부자속 세기이었다. 또한, 높은 inductive power 조건과 낮은 dc bias voltage 조건에서 Cu는 $SF_6$ 플라즈마 내에서 식각부산물의 증착으로 인해 SiC 와 무한대의 식각선택비를 보였다. 이러한 Cu 마스크를 사용한 SiC 의 식각에서는 식각 후 수직한 식각 단변을 관찰할 수 있었다. 것올 알 수 있다. 따라서, 기존의 pve 보다 세라믹 기판의 경우가 수분 흡수율이 높아 더 오랫동안 전류를 흐르게 하여 방식성이 개선된 것으로 판단된다.을 통해 경도가 증가한 시편의 경우 석출상의 크기가 5nm 이하로 매우 작고 대체로 기지와 연속적인 계면을 형성하나, 열처리가 진행될수록 석 출상의 크기가 커지고 임계크기 이상에 이르면 연속적인 계면은 거의 발견되지 않고, 대부 분 불연속적이고 확연한 계면을 형성함을 관찰 할 수 있었다. 알루미나(${\alpha}-Al_2O_3$) 기판 위에 증착한 $(Ti_{1-x}AI_{x})N$ 피막은 마찬가지로 (200) 우선 방위를 나타내었으나, 그 입자의 크기가 수십 nm로 고속도강위에 증착한 피막에 비해 상당히 크게 형성되었다. 또한 열처리 후에 AIN의 석출이 진행됨에도 불구하고 경도 증가는 나타나지 않고, 열처리가 진행됨에 따라 경도가 감소하는 양상만을 나타내었다. 결국 $(Ti_{1-x}AI_{x})N$ 피막이 열처리 전후에 보아는 기계적 특성의 변화 양상은 열역학적으로 안정한 Wurzite-AlN의 석출에 따른 것으로 AlN 석출상의 크기에 의존하며, 또한 이러한 영향은 $(Ti_{1-x}AI_{x})N$ 피막에 존재하는 AI의 함량이 높고, 초기에 증착된 막의 업자 크기가 작을 수록 클 것으로 여겨진다. 그리고 환경의 의미의 차이에 따라 경관의 미학적 평가가 달라진 것으로 나타났다.corner$적 의도에 의한 경관구성의 일면을 확인할수 있지만 엄밀히 생각하여 보면 이러한 예의 경우도 최락의 총체적인 외형은 마찬가지로 $\ulcorner$순응$\lrcorner$의 범위를 벗어나지 않는다. 그렇기 때문에

  • PDF

가스 센서용 ZnO, SnO2 박막의 이방성 식각을 위한 mask 재료의 식각 선택도 조사 (Etch selectivities of mask materials for anisotropic dry etching of gas sensing ZnO and SnO2 films)

  • 박종천;조현
    • 한국결정성장학회지
    • /
    • 제21권4호
    • /
    • pp.164-168
    • /
    • 2011
  • 고이온밀도 플라즈마 식각에 의한 고종횡비, 고이방성을 갖는 ZnO, $SnO_2$ 나노 구조 가스 감응층 형성을 위하여 mask 재료들과의 식각 선택도를 조사하였다. $25BCl_3$/10Ar ICP 플라즈마에서는 ZnO와 Ni 간 5.1~6.1 범위의 식각 선택도가 확보된 반면에 Al의 경우 효율적인 식각 선택도를 확보할 수 없었다. $25CF_4$/10Ar ICP 플라즈마에서는 ZnO와 Ni 간에 7~17 범위의 높은 식각 선택도를 얻을 수 있었다. $SnO_2$$SnF_x$ 식각 생성물의 높은 휘발성에 기인하여 Ni에 비해 매우 높은 식각 속도를 나타내었고, 최고치 약 67의 매우 높은 식각 선택도를 확보하였다.

집속 아르곤 이온 레이저 빔을 이용한 실리콘 기판의 식각 (Etching of Silicon Wafer Using Focused Argon lon Laser Beam)

  • 정재훈;이천;박정호
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제48권4호
    • /
    • pp.261-268
    • /
    • 1999
  • Laser-induced thermochemical etching has been recognized as a new powerful method for processing a variety of materials, including metals, semiconductors, ceramics, insulators and polymers. This study presents characteristics of direct etching for Si substrate using focused argon ion laser beam in aqueous KOH and $CCl_2F_2$ gas. In order to determine process conditions, we first theoretically investigated the temperature characteristics induced by a CW laser beam with a gaussian intensity distribution on a silicon surface. Major process parameters are laser beam power, beam scan speed and reaction material. We have achieved a very high etch rate up to $434.7\mum/sec$ and a high aspect ratio of about 6. Potential applications of this laser beam etching include prototyping of micro-structures of MEMS(micro electro mechanical systems), repair of devices, and isolation of opto-electric devices.

  • PDF

반도체용 플라즈마 장치에서 edge ring의 역할 (Role of an edge ring in plasma processing systems for semiconductor wafers)

  • 주정훈
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2017년도 춘계학술대회 논문집
    • /
    • pp.71-71
    • /
    • 2017
  • 플라즈마를 이용하는 건식 식각 또는 박막 증착 장비(PECVD)의 경우 웨이퍼에 rf bias를 인가하여 이온의 에너지와 입사각을 조절한다. 종래에는 웨이퍼의 가장 자리 3 mm영역을 공정 대상에서 제외하는 exclusion area로 지정하였으나 점차 공정 기술의 발달로 2 mm 이내로 감소하고 있다. 따라서 웨이퍼의 가장 자리에서 발생하는 전기장의 방향 및 크기 변화를 조절할 수 있는 기술의 개발이 필요하게 되었으며 그중 핵심적인 부품이 Si 또는 SiC로 제작되는 edge ring이다. Focus ring이라고도 불리는 이 부품은 웨이퍼 상에서 반경 방향으로 흐르는 가스의 유속이 가장 자리에 근접하면 빨라지는 현상과 이에 의해 식각/증착 화학 반응 속도가 증가하는 문제를 완화하기 위한 것과 적절한 전기 전도도를 부여함으로써 가장 자리의 전기장 분포를 최적화 할 수 있는 새로운 설계 변수로 활용할 수 있다. 스퍼터링의 경우에도 웨이퍼 중앙과 주변 부는 마그네트론 음극의 회전 링과의 입체각이 차이가 나므로 가장 자리의 경우 트렌치나 홀의 내측이 외측에 비해서 증착막의 두께가 얇아지는 문제가 있으며 건식 식각의 경우 홀의 형상이 수직에서 벗어나는 경향이 발생할 수 있다. 또한 사용 시간에 비례해서 edge ring의 형상이 변화하는데 상대적으로 고가품이어서 교체 주기를 설정하는 보다 합리적 기준이 필요하다. 본 연구에서는 전산 유체 역학 모델을 사용하는 ESI사의 CFD-ACE+를 활용하여 edge ring의 형상과 재질이 갖는 영향을 전산 모사하기 위한 기초 작업을 그림 1과 같이 진행하였다. 2D-CCP model에 Ar 가스를 가정하고 비유 전율 10내외 전도도 $0.1/Ohm{\cdot}m$정도의 재질에 대한 용량성 결합 플라즈마에 대해서 계산을 하고 이 때 기판에 인가되는 고주파 전력에 의한 이온의 입사 에너지 분포 및 각도 분포를 Monte Carlo 방법으로 처리하여 계산하였다.

  • PDF

ICP를 이용한 MTJ stack 위의 Ta 박막의 식각 특성 연구 (Dry etch of Ta thin film on MTJ stack in inductively coupled plasma)

  • 김동표;우종창;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.29-29
    • /
    • 2009
  • 현재 고집적 비휘발성 메모리 소자로는 MRAM (Magnetic Random Access Memory)과 PRAM (Phase Magnetic Random Access Memory)이 활발하게 미국과 일본, 한국 등에서 다양한 연구가 진행되어 오고 있다. 이 중에서 MRAM은 DRAM과 비슷한 10 ns의 빠른 읽기/쓰기 속도와 비휘발성 특성을 가지고 있으며, 전하를 저장할 커패시터가 필요 없고, 두 개의 자성충에 약 10 mA 정도의 전류를 가하면 그때 발생하는 약 10 Oe의 자장을 개개의 비트를 write하고, read 시에는 각 비트의 자기저항을 측정함으로써 데이터를 저장하고 읽을 있으므로, 고집적화가 가능성하다 [1]. 현재 우수한 박막 재료가 개발 되었으나, 고집적 MRAM 소자의 양산에는 해결 하여야 하는 문제점이 있다. 특히 다층 박막으로 구성되어 있으므로 식각 공정의 개발이 필수적이다. 지금까지 MRAM 재료의 식각은 주로 Ion milling, ICP, ECR등의 플라즈마 장치를 되었고, 식각 가스로는 할로겐 기체와 금속카보닐 형성을 위한 Co/$NH_3$$Ch_3OH$ 기체가 이용되고 있다. 그러나 할로겐 계열의 기체를 사용할 경우, 식각 부산물들의 높은 끓는점 때문에 식각 부산물이 박막의 표면에서 열적 탈착에 의하여 제거되지 않기 때문에 높은 에너지를 가지는 이온의 도움에 의한 식각이 필요하다. 또한 Cl 계열의 기체를 사용할 경우, 식각 공정 후, 시료가 대기에 노출되면 대기 중의 수분과 식각 부산물이 결합하여 부식 현상이 발생하게 된다. 그러므로 이를 방지하기 위한 추가 공정이 요구된다. 최근에는 부식 현상이 없고, MTJ 상부에 사용되는 Ta 또는 Ti Hard mask와의 높은 선택비를 가지는 $CH_3OH$ 또는 CO/$NH_3$가 사용되고 있다. 하부 박막에 따른 식각 특성에 연구와 다층의 박막의 식각 공정에 발생에 관한 발표는 거의 없다. MRAM을 양산에 적용하기 위하여서는 Main etch 공정에서 빠른 식각 공정이 필요하고, Over etch 공정에서 하부박막에 대한 높은 선택비가 요구된다. 그러므로 본 논문에서는 식각 변수에 따른 플라즈마 측정과 표면 반응을 비교하여 각 공정의 식각 메커니즘을 규명하고, Main Etch 공정에서는 $Cl_2$/Ar 또는 $BCl_3$/Ar 가스를 이용하여 식각 실험을 수행하고, Over etch 공정에는 낮은 Ta 박막 식각 속도를 가지는 $Ch_4/O_2$/Ar 또는 $Ch_3OH$/Ar 가스를 이용하고자 한다. 플라즈마 내의 식각종과 Ta 박막과의 반응을 XPS와 AES를 이용하여 분석하고, 식각 공정 변수에 따른 식각 속도, 식각 선택비와 식각 프로파일 변화를 SEM을 이용하여 관찰한다.

  • PDF