• Title/Summary/Keyword: 식각 공정

Search Result 711, Processing Time 0.034 seconds

중성빔 식각을 이용한 Metal Gate/High-k Dielectric CMOSFETs의 저 손상 식각공정 개발에 관한 연구

  • Min, Gyeong-Seok;O, Jong-Sik;Kim, Chan-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.287-287
    • /
    • 2011
  • ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.

  • PDF

A Study on the Characteristics of Poly-Si Etching Process Parameter Using ECR Plasma (ECR 플라즈마의 식각 공정변수에 관한 연구)

  • 안무선;지철묵;김영진;윤송현;유가선
    • Journal of the Korean Vacuum Society
    • /
    • v.1 no.1
    • /
    • pp.37-42
    • /
    • 1992
  • Abstract-The ECR(E1ectron Cyclotron Resonance) plasma etcher was developed for process of manufacturing 16M164' DRAM and applied to poly-Si etching process. The etching rate and selectivity of poly-Si were investigated by changing the process factor of pressure gas and microwave power. The increasing power of microwave will have the trend of increasing the etching rate and selectivity of Oxide, and have suitable value process pressure at 6 mTorr. The increasing value of process gas SFdSF6+ Clz will cause the decrease of etching rate and selectivity, this is because the best process factor is not found.

  • PDF

High Density Planar Inductively Coupled Plasma Etching of GaAs in BCl$_3$-based Chemistries (BCl$_3$ 기반 가스를 이용한 GaAs의 고밀도 평판형 유도결합 플라즈마 식각)

  • ;;;;;;S.J. Pearton
    • Journal of the Korean institute of surface engineering
    • /
    • v.36 no.5
    • /
    • pp.418-422
    • /
    • 2003
  • 평판형 유도결합 플라즈마 식각장비(inductively coupled plasma etcher)를 이용하여 각종 공정조건들에 따른 GaAs의 식각특성을 연구하였다. 공정변수들은 ICP 소스파워(0-500 W), RIE 척파워(0-150 W), 가스 종류($BCl_3$, $BCl_3$/Ar, $BCl_3$/Ne) 및 가스혼합비였다. $BCl_3$ 가스만을 이용하여 GaAs를 식각한 경우보다 25%의 Ar이나 Ne같은 불활성 기체를 혼합한 $15BCl_3$/5Ar, $15BCl_3$/5Ne 가스를 이용한 경우의 식각률이 더 우수한 것을 확인하였다. 그리고 50% 이하의 Ar이 혼합된 $BCl_3$/Ar의 경우는 높은 식각률 (>4,000 $\AA$/min)과 평탄한 표면(RMS roughness : <2 nm)을 얻을 수 있었지만 지나친 양(>50%)의 Ar의 혼합은 오히려 표면을 거칠게 하거나 식각률을 떨어뜨리는 결과를 가져왔다. 그리고 20 sccm $BCl_3$, 100 W RIE 척파워, 300 W ICP 소스파워, 공정압력이 7.5 mTorr인 조건에서의 GaAs의 식각결과는 아주 우수한 특성(식각률: ∼ 4,000, $\AA$/min, 우수한 수직측벽도: >$87^{\circ}$, 평탄한 표면: RMS roughness : ∼0.6 nm)을 나타내었다.

Pulsed DC $BCl_3/SF_6$ 플라즈마를 이용한 GaAs와 AlGaAs의 선택적 식각에 관한 연구

  • Choe, Gyeong-Hun;Kim, Jin-U;No, Gang-Hyeon;Sin, Ju-Yong;Park, Dong-Gyun;Song, Han-Jeong;Lee, Je-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.67-67
    • /
    • 2011
  • Pulsed DC $BCl_3/SF_6$ 플라즈마를 사용하여 GaAs와 AlGaAs의 건식 식각을 연구하였다. 식각 공정 변수는 가스 유량 (50~100 % $BCl_3$ in $BCl_3/SF_6$), 펄스 파워 (450~600 V), 펄스 주파수 (100~250 KHz), 리버스 시간 (0.4~1.2 ${\mu}s$)이었다. 식각 공정 후 표면 단차 측정기 (Surface profiler)를 사용하여 표면의 단차와 거칠기를 분석하였다. 그 결과를 이용하여 식각률 (Etch rate), 표면거칠기 (Surface roughness), 식각 선택비 (Selectivity)와 같은 특성 평가를 하였다. 실험 후 주사 현미경 (FE-SEM, Field Emission Scanning Electron Microscopy)을 이용, 식각 후 시료의 단면과 표면을 관찰하였다. 실험 결과에 의하면 1) 18 sccm $BCl_3$ / 2 sccm $SF_6$, 500 V (Pulsed DC voltage), 0.7 ${\mu}s$ (Reverse time), 200 KHz (Pulsed DC frequency), 공정 압력이 100 mTorr인 조건에서 GaAs와 Al0.2Ga0.8As의 식각 선택비가 약 48:1로 우수한 결과를 나타내었다. 2) 펄스 파워 (Pulsed DC voltage), 리버스 시간(Reverse time), 펄스 주파수(Pulsed DC frequency)의 증가에 따라 각각 500~550 V, 0.7~1.0 ${\mu}s$, 그리고 200~250 KHz 구간에서 AlGaAs에 대한 GaAs의 선택비가 감소하게 되는 것을 알 수 있었다. 이는 척 (chuck)에 인가되는 전류와 파워를 증가시키고, 따라서 GaAs의 식각률이 크게 증가했지만 AlGaAs 또한 식각률이 증가하게 되면서 GaAs에 대한 식각 선택비가 감소한 것으로 생각된다. 3) 표면 단차 측정기와 주사전자현미경 사진 결과에서는 GaAs의 경우 10% $SF_6$ (18 sccm $BCl_3$ / 2 sccm $SF_6$)가 혼합된 조건에서 상당히 매끈한 표면 (RMS roughness < 1.0 nm)과 높은 식각률 (~0.35 ${\mu}m$/min), 수직의 식각 측벽 확보에서 매우 좋은 결과를 보여주었다. 또한 같은 공정 조건에서 AlGaAs는 식각이 거의 되지 않은 결과 (~0.03 ${\mu}m$/min)를 보여주었다. 위의 결과들을 종합해 볼 때 Pulsed DC $BCl_3/SF_6$ 플라즈마는 GaAs와 AlGaAs의 선택적 식각 공정에서 매우 우수한 공정 결과를 나타내었다.

  • PDF

Notching Phenomena of Silicon Gate Electrode in Plasma Etching Process (플라즈마 식각공정에서 발생하는 실리콘 게이트 전극의 Notching 현상)

  • Lee, Won Gyu
    • Applied Chemistry for Engineering
    • /
    • v.20 no.1
    • /
    • pp.99-103
    • /
    • 2009
  • HBr and $O_2$ in $Cl_2$ gas ambient for the high density plasma gate etching has been used to increase the performance of gate electrode in semiconductor devices. When an un-doped amorphous silicon layer was used for a gate electrode material, the notching profile was observed at the outer sidewall foot of the outermost line. This phenomenon can be explained by the electron shading effect: i.e., electrons are captured at the photoresist sidewall while ions pass through the photoresist sidewall and reach the oxide surface at a narrowly spaced pattern during the over etch step. The potential distribution between gate lines deflects the ions trajectory toward the gate sidewall. In this study, an appropriate mechanism was proposed to explain the occurrence of notching in the gate electrode of un-doped amorphous silicon.

적합화된 자장의 세기 및 배열을 통한 대면적 유도결합형 플라즈마 개발에 관한 연구

  • 이영준;한혜리;염근영
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.248-248
    • /
    • 1999
  • 현재 반도체 공정에서 사용하는 건식식각 공정은 고밀도 프라즈마를 사용한 플라즈마 장비를 사용하는 경향이 증대되고 있으며 이와 같은 고밀도 플라즈마 장비의 사용은 반도체 소자의 최소 선폭(CD)이 deep sub-micron으로 감소하고 반면 실리콘 웨이퍼의 크기는 8인치 직경이상으로 증가하여 가고 있어서 그 필요성이 더욱 더 증가되고 있다. 특히 TFT-LCD를 비롯한 PDP, 그리고 FED 등과 같은 여러 가지 형태의 평판 디스플레이의 제조공정에 있어서도 실리콘 기판에 비하여 대면적의 기판을 이용하고 또한 사각형 형태의 시편공정이 요구되므로 평판 디스플레이에서도 고밀도의 균일한 플라즈마 유지가 중요하다. 따라서, 본 실험에서는 여러 가지 형태의 영구자석 및 전자석의 세기 및 배열이 유도결합형 플라즈마에 미치는 효과(plasma&etch uniformity, etch rate, etc.)를 살펴보기 위해서, 유도결합형 플라즈마 chamber(210mm$\times$210mm) 내부에 magnetic cusping을 위한 영구자석용 하우스를 제작하여 표면에서 3000Gauss의 자장세기를 갖는 소형영구자석을 부착하였으며,외벽에는 chamber와 같이 사각형태로 40회 감겨진 50cm$\times$50cm 의 크기로 chamber 상하에 1개씩 Helmholtz 코일 형태로 설치하였다. 식각가스로는 Cl2, HBr, 그리고 BCl3 gas를 이용하여 axial magnet과 multidipole magnet 유무에 따른 반응성 gas의 polysilicon 식각특성을 살펴보았으며, 또한 electrostatic probe(ESP, Hiden Analytic미)를 이용하여 이들 반응성 gas에 대한 magnetically enhanced inductively coupled plasma의 특성분석을 수행하였따. Cl2, HBr, BCl3의 반응성 식각가스 조합을 이용하여 polysilicon의 식각속도 및 식각선택도를 관찰한 결과, 어떠한 자장도 가하지 않은 경우에 비해 gas의 분해율이 가장 높은 영구자석과 전자석의 조합에서 가장 높은 식각도가 관찰되었다. 특히 pure Cl2 플라즈마의 경우, Axial 방향의 전자석만을 가한 경우 식각속도에 있어서는 큰 증가를 보였으나, 식각균일도(식각균일도:8.8%)는 다소 감소하였으며, Axial 방향의 전자석과 영구자석을 조합한 경우 가장 높은 식각속도를 얻었으며, 식각균일도는 Axial 방향의 전자석만을 사용하였을 경우와 비교하여 향상되었다.

  • PDF

SiO2 식각 시 CF4+Ar 혼합비에 따른 플라즈마 내의 화학종 분석

  • Hong, Gwang-Gi;Yang, Won-Gyun;Ju, Jeong-Hun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.238-239
    • /
    • 2011
  • 최근 반도체 산업은 더 높은 성능의 회로 제작을 통해 초고집적화를 추구하고 있다. 이를 위해서 회로 설계의 최소 선폭과 소자 크기는 지속적으로 감소하고 있고 이를 위한 배선 기술들은 플라즈마 공정을 이용한 식각공정에 크게 의존하고 있다. 식각공정에 있어서 반응가스의 조성은 식각 속도와 선택도를 결정하는 중요한 요소이다. 본 연구에서는 CIS QMS (closed ion source quadrupole mass spectrometer)를 이용하여 CF4+Ar를 이용한 실리콘 산화막의 플라즈마 식각 공정 시 생성되는 라디칼과 이온 종들을 측정하였다. Ar 이온이 기판표면과 충돌하여 기판물질간의 결합을 깨놓으면, 반응성 기체 및 라디칼과의 반응성이 커져서 식각 속도를 향상 시키게 된다. 본 실험에서는 2 MHz의 RPS (remote plasma source)를 이용하여 플라즈마를 발생시키고 13.56 MHz의 rf 전력을 기판에 인가하여 식각할 웨이퍼에 바이어스 전압을 유도하였다. CF4/(CF4+Ar)의 가스 혼합비가 커질수록 식각 부산물인 SiF3의 양은 증가 하였으며, CF4 혼합비가 0일 때(Ar 100%) 비하여 1일 때(CF4 100%) SiF3의 QMS 이온 전류는 106배 증가하였다. 이때의 Si와 결합하여 SiF3를 형성하는 F라디칼의 소모는 0.5배로 감소하였다. 또한 RPS power가 800 W일 때 플라즈마에 의해서 CF4는 CF3, CF2, CF로 해리 되며 SiO2 식각 시 라디칼의 직접적인 식각과 Si_F2의 흡착에 관여되는 F라디칼의 양은 CF3 대비 7%로 검출되었고, 식각 부산물인 SiF3는 13%로 측정되었다. Ar의 혼합비를 0 %에서 100%까지 증가시켜 가면서 측정한 결과 F/CF3는 $1.0{\times}105$에서 $2.8{\times}102$로 변화하였다. SiF3/CF3는 1.8에서 6.3으로 증가하여 Ar을 25% 이상 혼합하는 것은 이온 충돌 효과에 의한 식각 속도의 증진 기대와는 반대로 작용하는 것으로 판단된다.

  • PDF

Critical dimension uniformity improvement by adjusting etch selectivity in Cr photomask fabrication

  • O, Chang-Hun;Gang, Min-Uk;Han, Jae-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.213-213
    • /
    • 2016
  • 현재 반도체 산업에서는 디바이스의 고 집적화, 고 수율을 목적으로 패턴의 미세화 및 웨이퍼의 대면적화와 같은 이슈가 크게 부각되고 있다. 다중 패터닝(multiple patterning) 기술을 통하여 고 집적 패턴을 구현이 가능해졌으며, 이와 같은 상황에서 각 패턴의 임계치수(critical dimension) 변화는 패턴의 위치 및 품질에 큰 영향을 끼치기 때문에 포토마스크의 임계치수 균일도(critical dimension uniformity, CDU)가 제작 공정에서 주요 파라미터로 인식되고 있다. 반도체 광 리소그래피 공정에서 크롬(Cr) 박막은 사용되는 포토 마스크의 재료로 널리 사용되고 있으며, 이러한 포토마스크는 fused silica, chrome, PR의 박막 층으로 이루어져 있다. 포토마스크의 패턴은 플라즈마 식각 장비를 이용하여 형성하게 되므로, 식각 공정의 플라즈마 균일도를 계측하고 관리 하는 것은 공정 결과물 관리에 필수적이며 전체 반도체 공정 수율에도 큰 영향을 미친다. 흔히, 포토마스크 임계치수는 플라즈마 공정에서의 라디칼 농도 및 식각 선택비에 의해 크게 영향을 받는 것으로 알려져 왔다. 본 연구에서는 Cr 포토마스크 에칭 공정에서의 Cl2/O2 공정 플라즈마에 대해 O2 가스 주입량에 따른 식각 선택비(etch selectivity) 변화를 계측하여 선택비 제어를 통한 Cr 포토마스크 임계치수 균일도 향상을 실험적으로 입증하였다. 연구에서 사용한 플라즈마 계측 방법인 발광분광법(OES)과 optical actinometry의 적합성을 확인하기 위해서 Cl2 가스 주입량에 따른 actinometer 기체(Ar)에 대한 atomic Cl 농도비를 계측하였고, actinometry 이론에 근거하여 linear regression error 1.9%을 보였다. 다음으로, O2 가스 주입비에 따른 Cr 및 PR의 식각률(etch rate)을 계측함으로써 식각 선택비(etch selectivity)의 변화율이 적은 O2 가스 농도 범위(8-14%)를 확인하였고, 이 구간에서 임계치수 균일도가 가장 좋을 것으로 예상할 수 있었다. (그림 1) 또한, spatially resolvable optical emission spectrometer(SROES)를 사용하여 플라즈마 챔버 내부의 O atom 및 Cl radical의 공간 농도 분포를 확인하였다. 포토마스크의 임계치수 균일도(CDU)는 챔버 내부의 식각 선택비의 변화율에 강하게 영향을 받을 것으로 예상하였고, 이를 입증하기 위해 각각 다른 O2 농도 환경에서 포토마스크 임계치수 값을 확인하였다. (표1) O2 11%에서 측정된 임계치수 균일도는 1.3nm, 그 외의 O2 가스 주입량에 대해서는 임계치수 균일도 ~1.7nm의 범위를 보이며, 이는 25% 임계치수 균일도 향상을 의미함을 보인다.

  • PDF

플라즈마 이온 식각 공정을 이용한 피라미드 구조의 결정질 실리콘 태양전지 텍스쳐링

  • Jo, Jun-Hwan;Gong, Dae-Yeong;Seo, Chang-Taek;Yun, Seong-Ho;Jo, Chan-Seop;Kim, Bong-Hwan;Lee, Jong-Hyeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.373-375
    • /
    • 2011
  • 최근 태양전지 연구에서 저가격화를 실현하는 방법 중 하나로 폐 실리콘 웨이퍼를 재생하는 방법에 관하여 많은 연구가 진행되고 있다. 그러나 기존 웨이퍼 재생공정은 높은 재처리 비용과 복잡한 공정등의 많은 단점을 가지고 있다. 결정형 태양전지에서 저가격화 및 고효율은 태양전지를 제작하는데 있어 필수 요소 이다. 그 중 결정질 태양전지 고효율을 위한 여러 연구 방법 중 표면 텍스쳐링(texturing)에 관한 연구가 활발하다. 텍스쳐링은 표면반사에 의한 광 손실을 최소화 하여 효율을 증가시키기 위한 방법으로 습식 식각과 건식 식각을 사용하여 태양전지 표면 위에 요철 및 피라미드구조를 형성하여 반사율을 최소화 시킨다. 건식식각은 습식식각과 다른 환경적 오염이 적은 것과 소량의 가스만으로 표면 텍스쳐링이 가능하여 많은 연구가 진행중이다. 건식 식각 중 하나인 RIE(reactive ion etching)는 고주파를 이용하여 플라즈마의 이온과 silicon을 반응 시킨다. 실험은 RIE를 이용하여 SF6/02가스를 혼합하여 비등방성 에칭 및 피라미드 구조를 구현하였다. RIE 공정 중 SF6/02가스는 높은 식각 율을 갖으며 self-masking mechanism을 통해 표면이 검게 변화되고 반사율이 감소하게 된다. 이 과정을 통해 블랙 실리콘을 형성하게 된다. 블랙 실리콘은 반사율 10% 이하로 self-masking mechanism으로 바늘모양의 구조를 형성되는 게 특징이며 표면이 검은색으로 반사율이 낮아 효율증가로 예상되지만 실제 바늘 모양의 블랙 실리콘은 태양전지 제작에 있어 후속 공정 인 전극 형성 시 Ag Paste의 사이즈와 표면 구조를 감안할 때 태양 전지 제작 시 Series resistance를 증가로 효율 저하를 가져온다. 본 연구는 SF6/02가스를 혼합하여 기존 RIE로 형성된 바늘모양의 구조의 블랙 실리콘이 아닌 RIE 내부에 metal-mesh를 장착하여 단결정(100)실리콘 웨이퍼 표면을 텍스쳐링 하였고 SF6/02 가스 1:1 비율로 공정을 진행 하였다. metal-mesh 홀의 크기는 100um로 RIE 내부에 장착하여 공정 시간 및 Pressure를 변경하여 실험을 진행하였다. 공정 시간이 변경됨에 따라 단결정(100) 실리콘 웨이퍼 표면에 피라미드 구조의 균일한 1um 크기의 블랙 실리콘을 구현하였다. 바늘모양의 블랙 실리콘을 피라미드 구조로 구현함으로써 바늘 모양의 단점을 보완하여 태양전지 전기적 특성을 분석하여 태양전지 제작시 변환 효율을 증가시킬 것으로 예상된다.

  • PDF

확장형 히든마코브모델을 이용한 산화막 플라즈마 식각공정의 식각종료점 검출방법

  • Jeon, Seong-Ik;Kim, Seung-Gyun;Hong, Sang-Jin;Han, Seung-Su
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.407-407
    • /
    • 2010
  • 본 논문에서는 확장된 히든마코브모델을 이용하여 플라즈마 식각공정에서 식각종료검출을 위한 방법을 연구하였다. 플라즈마 식각장비는 유도성 결합플라즈마 시스템을 사용하였으며, 종료점 검출을 위해 식각공정이 진행됨에 따른 플라즈마의 상태를 확인할 수 있는 광학 방사 분광기(Optical Emission Spectroscopy: OES)를 사용하였다. 식각이 진행되는 동안 여기되는 입자들은 특정한 재료에 해당하는 파장에서 빛을 방출한다. 플라즈마상태에서 여기되는 원자와 분자들에 의해서 방출되는 빛은 OES를 통해 식각되는 물질을 확인하기 위해서 특별한 파장의 빛을 선택하여 분석한다. 본 논문에서는 확장된 히든마코브모델을 이용해 산화물이 식각될 때 방출하는 고유한 파장의 빛을 분석하여 식각이 종료되는 시점을 찾는 연구를 하였다. 제안된 확장형 히든마코브 모델은 세미-마코브모델과 분절특징 히든마코브모델을 결합한 것으로, 확률적 통계기법을 통해 종료시점을 찾아내는 방법이다. OES를 통해 얻은 데이터는 식각 종료가 일어나기 전의 파장의 상태와 식각이 종료된 후의 파장의 상태로 구분되어지는데, 식각종료시점에서 파장의 상태가 변화하며 이를 감지하여 식각종료점을 검출한다. 분절특징 히든마코브모델을 이용하여 식각종료시점 전후의 파장의 상태를 모델링 하였으며, 일반적인 마코브 모델의 특정상태가 유지될 시간의 확률을 변형된 세미-마코브 모델을 이용하여 OES를 통해 얻은 데이터 내에서 식각 종료가 일어나기 전의 상태가 유지될 수 있는 확률을 모델링 하였다. 실험을 통해 얻어진 6개의 데이터중 4개를 학습을 위해 사용하여 모델링을 하였고 나머지 2개의 데이터를 검증을 위해 사용한 결과, 확장형 히든마코브모델의 식각종료시점검출에 있어 뛰어난 정확성과 우수성을 증명하였다.

  • PDF