• Title/Summary/Keyword: 분기도

Search Result 1,929, Processing Time 0.028 seconds

A Study on the Estimation of Chungbuk Quarterly GRDP by Using Small Area Estimation (충청북도 분기별 GRDP 추계방안 연구 - 소지역 추정법의 적용 -)

  • 이계오;김윤수
    • Proceedings of the Korean Association for Survey Research Conference
    • /
    • 2001.11a
    • /
    • pp.47-64
    • /
    • 2001
  • In this Era of Information and Localization, GRDP is recognized as indispensable information to establish regional economic policy. Especially, to raise Chungbuk province's economical independence and to establish effectual regional economic development plans, Chungbuk province needs quarterly estimated GRDP for developing regional economic forecasting system. In this study, utilizing small area estimation is proposed to estimate the quarterly Chungbuk-GRDP. To estimate quarterly GRDP, this study assumes that the comovement between the annual Chungbuk-GRDP provided by the Bureau of Statistics and nation's GDP provided by the Bank of Korea exists. Moreover, from the nation's quarterly GDP in each section of economical activity, this study has presumed the quarterly comovement, applied it to subdivide Chungbuk annual GRDP quarterly, and estimated quarterly Chungbuk-GRDP.

  • PDF

A Branch Prediction Mechanism Using Adaptive Branch History Length (적응 가능한 분기 히스토리 길이를 사용하는 분기 예측 메커니즘)

  • Cho, Young-Il
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.44 no.1
    • /
    • pp.33-40
    • /
    • 2007
  • Processor pipelines have been growing deeper and issue widths wider over the years. If this trend continues, the branch misprediction penalty will become very high. Branch misprediction is the single most significant performance limiter for improving processor performance using deeper pipelining. Therefore, more accurate branch predictor becomes an essential part of modern processors. Several branch predictors combine a part of the branch address with a fixed amount of global branch history to make a prediction. These predictors cannot perform uniformly well across all programs because the best amount of branch history to be used depends on the program and branches in the program. Therefore, predictors that use a fixed history length are unable to perform up to their potential performance. In this paper, we propose a branch prediction mechanism, using variable length history, which predicts using a bank having higher prediction accuracy among predictions from five banks. Bank 0 is a bimodal predictor which is indexed with the 12 least significant bits of the branch address. Banks 1, 2, 3 and 4 are predictors which are indexed with different global history bits and the branch PC. In simulation results, the proposed mechanism outperforms gshare predictors using fixed history length of 12 and 13 , up to 6.34% in prediction accuracy. Furthermore, the proposed mechanism outperforms gshare predictors using best history lengths for benchmarks, up to 2.3% in prediction accuracy.

Direction-Embedded Branch Prediction based on the Analysis of Neural Network (신경망의 분석을 통한 방향 정보를 내포하는 분기 예측 기법)

  • Kwak Jong Wook;Kim Ju-Hwan;Jhon Chu Shik
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.42 no.1
    • /
    • pp.9-26
    • /
    • 2005
  • In the pursuit of ever higher levels of performance, recent computer systems have made use of deep pipeline, dynamic scheduling and multi-issue superscalar processor technologies. In this situations, branch prediction schemes are an essential part of modem microarchitectures because the penalty for a branch misprediction increases as pipelines deepen and the number of instructions issued per cycle increases. In this paper, we propose a novel branch prediction scheme, direction-gshare(d-gshare), to improve the prediction accuracy. At first, we model a neural network with the components that possibly affect the branch prediction accuracy, and analyze the variation of their weights based on the neural network information. Then, we newly add the component that has a high weight value to an original gshare scheme. We simulate our branch prediction scheme using Simple Scalar, a powerful event-driven simulator, and analyze the simulation results. Our results show that, compared to bimodal, two-level adaptive and gshare predictor, direction-gshare predictor(d-gshare. 3) outperforms, without additional hardware costs, by up to 4.1% and 1.5% in average for the default mont of embedded direction, and 11.8% in maximum and 3.7% in average for the optimal one.

Branch Prediction Latency Hiding Scheme using Branch Pre-Prediction and Modified BTB (분기 선예측과 개선된 BTB 구조를 사용한 분기 예측 지연시간 은폐 기법)

  • Kim, Ju-Hwan;Kwak, Jong-Wook;Jhon, Chu-Shik
    • Journal of the Korea Society of Computer and Information
    • /
    • v.14 no.10
    • /
    • pp.1-10
    • /
    • 2009
  • Precise branch predictor has a profound impact on system performance in modern processor architectures. Recent works show that prediction latency as well as prediction accuracy has a critical impact on overall system performance as well. However, prediction latency tends to be overlooked. In this paper, we propose Branch Pre-Prediction policy to tolerate branch prediction latency. The proposed solution allows that branch predictor can proceed its prediction without any information from the fetch engine, separating the prediction engine from fetch stage. In addition, we propose newly modified BTE structure to support our solution. The simulation result shows that proposed solution can hide most prediction latency with still providing the same level of prediction accuracy. Furthermore, the proposed solution shows even better performance than the ideal case, that is the predictor which always takes a single cycle prediction latency. In our experiments, IPC improvement is up to 11.92% and 5.15% in average, compared to conventional predictor system.

Efficient XML Twig Query Processing based on Path-summarized Labeling Schemes (경로 정보 축약 레이블링 기법을 이용한 효율적인 XML 분기 질의 처리)

  • Seo, Se-Hoon;Bae, Jin-Uk;Lee, Suk-Ho
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2005.11b
    • /
    • pp.178-180
    • /
    • 2005
  • 지금까지 제안된 XML 문서상의 분기 질의(twig query) 처리 기법들의 중요한 흐름 중 하나는 지역 인코딩 기법을 이용하는 것이다. 하지만 이 기법에 기반한 분기 질의 처리는 분기 질의상의 단말 노드와 분기 노드의 엘리먼트를 반드시 읽어야 하는 단점이 있다. 그러나 지역 인코딩 기법과는 달리 경로 정보를 축약하는 방식의 레이블링 기법(예: 듀이 인코딩)은 지역 인코딩에 의한 레이블에 비해 더 많은 정보를 담고 있어서, 이 기법과 구조 인덱스를 이용하여 XML 문서를 인덱싱하면 질의상의 단말 태그의 엘리먼트만을 읽어도 분기 질의를 처리할 수 있다. 이를 이용하여, 본 논문에서는 경로 정보 축약 레이블링 기법과 구조 인덱스를 이용한 분기 질의 처리 기법을 제안한다. 제안된 알고리즘은 디스크 입출력을 줄일 수 있으며 불필요한 중간 결과도 생성하지 않는다.

  • PDF

Operation and Development of Switching System for the Urban Transit MagLev (도시형 자기부상차량용 분기장치의 운용과 개발 현황)

  • Lee, Jong-Min;Cho, Hung-Je;Kim, Dong-Sung;Kim, Jae-Dong
    • Proceedings of the KIEE Conference
    • /
    • 2005.04a
    • /
    • pp.250-252
    • /
    • 2005
  • 철차륜방식의 궤도시스템과 마찬가지로 자기부상차량 시스템 역시 정해진 경로로 주행하기 위한 분기장치가 반드시 필요하고, 대차가 궤도를 감싸고 주행하는 외형적 특성으로 분기장치의 구조가 커지고 동작이 복잡해지는 특징을 가지고 있다. 본 논문은 도시형 자기부상차량 시스템에 적용가능한 분기장치 형태들을 제안하고 있으며, 한국기계연구원의 도시형 자기부상차량 시험선로에 설치된 평행이동식 분기장치에 대한 설치 사양 및 운용현황 등을 설명하고 현재 개발이 진행중인 고속동작용 다관절 굴절식 분기장치의 1/5 scale 축소모형에 대한 개발현황 및 full scale 굴절식 분기장치로 확장할 때의 적용성 등을 제안하고 있다.

  • PDF

Improving Function-level Update Performance For Linux Kernel (리눅스 커널을 위한 함수 단위 업데이트 성능 개선 기법)

  • Lim, Byoung-Hong;Kim, In-Hyuk;Eom, Young-Ik
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2009.04a
    • /
    • pp.920-923
    • /
    • 2009
  • 기존의 동적 커널 업데이트 시스템에서 주로 사용되는 함수 단위의 재구성 기법으로는 트랩과 점프가 있다. 이러한 기법들을 사용하면 커널 서비스의 중단 없이 함수 단위로 커널을 업데이트할 수 있는 이점이 있다. 하지만 커널 업데이트 후, 프로세서가 분기 명령어를 처리하는 과정에 두 가지 문제점이 존재한다. 업데이트 함수에 업데이트가 필요한 함수 내의 분기 명령어 오퍼랜드 값을 그대로 복사하면 의미 없는 메모리 주소로 분기하게 된다. 또한 분기 명령어로 short jump를 사용하면, 현재 위치에서 8 비트 범위를 벗어난 주소공간에 존재하는 분기 함수에는 접근을 할 수 없는 문제를 안고 있다. 본 논문에서는 이러한 문제점들을 해결하기 위해 short jump 대신 long jump를 사용하는 방식을 제안하였다. 이를 위해 업데이트가 필요한 함수의 분기 명령어가 갖고 있는 오퍼랜드 값을 추출하여, 업데이트 함수의 분기 명령어가 정상적으로 동작할 수 있도록 오퍼랜드 값을 수정해주는 동적 커널 업데이트 시스템을 설계하고 구현하였다.

해운이슈 - 2008년 3/4분기 해운시황 전반적으로 보합세 유지예상 - KMI '2008년 3/4분기 해운시황 동향 및 전망' 발표 -

  • 한국선주협회
    • 해운
    • /
    • no.7 s.53
    • /
    • pp.15-28
    • /
    • 2008
  • 2008년 3/4분기 해운시황은 보합세를 유지할 것으로 전망되고 있다. 특히 정기선시황은 운임이 전분기에 비해 소폭 상승하나, 유가상승에 따른 연료비 부담증가와 물동량 증가세 둔화에 따른 공급과잉으로 인해 채산성이 악화될 것으로 예상된다. 부정기선화물선시황도 전분기에 비해 하락한 뒤 4/4분기에 다시 상승할 것으로 예상되며, 유조선시황은 비교적 강세를 지속할 것으로 전망되고 있다. 다음은 한국해양수산개발원이(KMI)이 최근 발표 한 '2008년 3/4분기 해운시황 전망'을 요약정리한 것이다.

  • PDF

A Bid Selection Heuristic for Combinatorial Auction (조합 경매에서의 비드 선택 휴리스틱)

  • 송진우;양성봉
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2002.10d
    • /
    • pp.283-285
    • /
    • 2002
  • 조합 경매는 구매자들이 원하는 상품들을 조합해서 입찰할 수 있는 경매다. 그러나 판매자의 이익을 최대로 하는 승자를 결정하는 문제는 NP-complete이다. 분기 한정법(branch and bound)을 사용해서 최적의 승자를 찾을 때, 어떤 비드(bid)를 선택해서 분기할 것인가를 결정해야 한다. 이 때, 비드를 선택하는 휴리스틱이 분기 한정법의 성능을 결정하는 중요한 역할을 한다. 본 논문에서는 조합경매의 승자를 결정하기 위해서 분기 한정법과 Linear Programming을 사용할 때, 분기할 비드와 충돌하는 비드들을 동시에 고려하는 비드 선택 휴리스틱을 제안한다. 실험을 통해서 제시하는 휴리스틱의 성능이 우수함을 보였다.

  • PDF

Effective Branch Prediction Schemes in AE32000 (AE32000에서의 효율적인 분기 예측 기법)

  • 정주영;김현규;오형철
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2001.10c
    • /
    • pp.25-27
    • /
    • 2001
  • 본 논문에서는 AE32000 프로세서에 적응 가능한 효율적인 분기 예측 기법에 관하여 연구하였다. 실험결과, 내장형 응용분야에서의 비용 효율성이란 측면에, AE32000 프로세서에서는 1비트의 분기 예측기와 한 개의 엔트리를 갖는 BTB(Branch Target Buffer)를 사용하는 것이 가장 적합함을 관찰하였다. 또한, 분기 목적 주소에서 나타나는 LERI 명령을 폴딩하여 분기 손실을 줄이는 방안은, BTB와 LERI 폴딩 유닛을 사용하는 설계에서, 가져오는 성능 향상이 미미함을 확인하였다.

  • PDF