• Title/Summary/Keyword: 건식식각

Search Result 292, Processing Time 0.023 seconds

The study about accelerating Photoresist strip under plasma (플라즈마 약액 활성화 방법을 이용한 Photoresist strip 가속화 연구)

  • Kim, Soo-In;Lee, Chang-Woo
    • Journal of the Korean Vacuum Society
    • /
    • v.17 no.2
    • /
    • pp.113-116
    • /
    • 2008
  • As the integration in semiconductor display develops, semiconductor process becomes multilayer. In order to form several layer patterns, etching process which uses photoresistor (PR) must be performed in multilayer process. Repeated etching processes which take long time and PR residue cause mortal problems in semiconductor. To overcome such problems, we studied about the solution which eliminates PR effectively by using normal dry and wet etching method using plasma activated PR strip solvent in liquid condition. At first, we simulate the device which activates the plasma and make sure whether gas flow in device is uniform or not. Under activated plasma, etching effect is elevated. This improvement reduces etching time as well as display production time of semiconductor process. Generally, increasing etching process increases environmental hazards. Reducing etching process can save the etchant and protect environment as well.

Dry etching of polysiliconin high density plasmas of $CI_2$ (고밀도 플라즈마를 사용한 $CI_2$/ Poly-Si 건식 식각)

    • Journal of the Korean Vacuum Society
    • /
    • v.8 no.1
    • /
    • pp.63-69
    • /
    • 1999
  • The characteristic parameters of high density plasma source (Helical Resonator) have been measured with Langmuir probe to get the plasma density electron temperature, ion current density, etc. Optical emission spectra of Si and SiCl have been analyzed in $Cl_2$$/poly-Si system to elucidate etching mechanism. In this system, the main reaction to remove silicon atoms on the surface is proceeding mostly through chemical reaction, not pure physical reaction. The emission intensity of SiCl (chemical etching product) increases much faster than Si (pure physical etching product) with increasing the concentration of impurities (P). This is due to the electron transfer from substrate to the surface via Si-Cl bond. As a result, Si-Cl bond becomes more ionic and mobile, therefore the Cl-containing etchant forms $SiCl_x$ with surface more easily. Consequently, for the removal of Si atom from poly silicon surface, the chemical etching is more favorable than physical etching with increasing P concentrations.

  • PDF

열적 응집된 Pt 나노입자 마스크를 이용한 실리콘 나노구조 제작

  • Im, Jeong-U;Yu, Jae-Su
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.186-186
    • /
    • 2011
  • 태양전지의 효율을 증가시키기 위해서는 표면에서의 Fresnel 반사를 줄여 입사된 빛이 흡수층까지 잘 도달되도록 해야 한다. 그러나 결정질 실리콘의 경우, 굴절률이 높아 32% 이상의 표면반사율을 보이고 있어, 실리콘 태양전지 표면에 단일 또는 다중 박막의 무반사 코팅을 통해 반사율을 낮추는 방법이 널리 사용 되어 오고 있었다. 하지만, 이와 같은 코팅 방법은 열적팽창 불일치, 물질 선택의 어려움뿐만 아니라 낮은 반사율을 포함하는 파장 및 빛의 입사각 영역의 제한 등 여러 문제점을 지니고 있다. 이러한 문제점을 보완하기 위해, 표면에 서브파장의 주기를 갖는 나노구조(subwavelength structure, SWS)의 형성에 관한 연구가 활발히 진행되고 있다. 습식 식각보다 건식 식각을 이용한 SWS 제작 방법이 표면 profile을 제어하기 용이하나 패턴 형성을 위해 식각 마스크가 필요하다. 최근, 복잡하고 고가의 전자빔 또는 나노임프린트를 이용한 패턴 형성보다, 간단/저렴하며 대면적 제작이 용이한 금속 나노입자 마스크를 이용한 SWS의 제작에 대한 연구가 활발히 진행되고 있다. 또한 SWS의 무반사 특성은 표면 profile에 따라 크게 영향을 받는다. 따라서 본 실험에서는 열적 응집현상에 의해 형성되는 self-assembled Pt 나노입자 식각 마스크 및 $SiCl_4$가스를 사용한 유도결합 플라즈마(inductively coupled plasma, ICP) 장비를 이용하여 무반사 실리콘 SWS를 제작하였으며, SWS 표면 profile에 따른 구조적 및 무반사 특성을 조사하기 위해 다양한 공정조건을 변화시켰다. 실리콘 기판 위의 Pt 박막은 전자빔 증착(e-beaml evaporation)법을 사용하였고, 급속 열처리(RTA)를 통해 Pt 나노입자의 식각 마스크를 형성시켰다. Pt 나노입자들의 패턴 및 제작된 무반사 실리콘 SWS의 식각 profile은 scanning electron microscope를 사용하여 관찰하였으며, UV-VIR-NIR spectrophotometer를 사용하여 350~1050 nm 파장 영역에서의 반사율을 측정하였다. ICP 식각 조건을 변화시켜 5% 이하의 낮은 반사율을 갖는 높이가 높고 쐐기 형태의 실리콘 SWS를 도출하였다.

  • PDF

Dry Etching Characteristics of LiNbO3 Single Crystal for Optical Waveguide Fabrication (광도파로 제작을 위한 단결정 LiNbO3 건식 식각 특성)

  • Park, Woo-Jung;Yang, Woo-Seok;Lee, Han-Young;Yoon, Dae-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.42 no.4
    • /
    • pp.232-236
    • /
    • 2005
  • The etching characteristics of a $LiNbO_{3}$ optical waveguide structure have been investigated using neutral loop discharge plasma with the mixture of $C_{3}F_{8}$ and Ar and the bias power parameters. The etching rate and profile angle of optical waveguide with etching parameters were evaluated by scanning electron microscopy. Also, the etching RMS roughness was evaluated by atomic force microscopy. From the results of optimum etching conditions are the $C_{3}F_{8}$ gas flow ratio of 0.2 and the bias power of 300 W.

Reactive Ion Etching of InP Using $CH_4/H_2$ Inductively Coupled Plasma ($CH_4/H_2$유도결합 플라즈마를 이용한 InP의 건식 식각에 관한 연구)

  • 박철희;이병택;김호성
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.2
    • /
    • pp.161-168
    • /
    • 1998
  • Reactive ion etching process for InGaAs/InP using the CH4/H2 high density inductively coupled plasma was investigated. The experimental design method proposed by Taguchi was utilized to cover the whole parameter range while maintaining reasonable number of actual experiments. Results showed that the ICP power mainly affects surface roughness and verticality of the sidewall, bias power does etch rate and verticality, CH4 gas concentraion does the verticality and etch rate, and the distance between the induction coil and specimen mostly affects the surface roughness. It was also observed that the chamber pressure is the dominant parameter for the etch rate and verticality of the sidewall. The optimum condition was ICP power 700W, bias power 150 W, 15% $CH_4$, 7.5 mTorr, and 14 cm distance, resulting in about 3 $\mu\textrm{m}$/hr etch rate with smooth surfaces and vertical mesa sidewalls.

  • PDF

Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications (전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.2
    • /
    • pp.99-101
    • /
    • 2000
  • The fabrication of the submicron size hole has been interesting due to the potential application of the near field optical sensor or liquid metal ion source. The 2 micron size dot array was photolithographically patterned. After formation of the V-groove shape by anisotropic KOH etching, dry oxidation at $1000^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have an etch-mask for dry etching. The reactive ion etching by the inductively coupled plasma (ICP) system was performed in order to etch ~90 nm $SiO_2$ layer at the bottom of the V-groove and to etch the Si at the bottom. The negative ion energy would enhance the anisotropic etching by the $Cl_2$ gas. After etching, the remaining thickness of the oxide on the Si(111) surface was measured to be ~130 nm by scanning electron microscopy. The etched Si aperture can be used for NSOM sensor.

  • PDF

A Semiconductor Etching Process Monitoring System Development using OES Sensor (OES 센서를 이용한 반도체 식각 공정 모니터링 시스템 개발)

  • Kim, Sang-Chul
    • Journal of the Korea Society of Computer and Information
    • /
    • v.18 no.3
    • /
    • pp.107-118
    • /
    • 2013
  • In this paper, we developed the semiconductor monitoring system for the etching process. Around the world, expert companies are competing fiercely since the semiconductor industry is a leading value-added industry that produces the essential components of electronic products. As a result, many researches have been conducted in order to improve the quality, productivity, and characteristics of semiconductor products. Process monitoring techniques has an important role to give an equivalent quality and productivity to produce semiconductor. In fact, since the etching process to form a semiconductor circuit causes great damage to the semiconductors, it is very necessary to develop a system for monitoring the process. The proposed monitoring system is mainly focused on the dry etching process using plasma and it provides the detailed observation, analysis and feedback to managers. It has the functionality of setting scenarios to match the process control automatically. In addition, it maximizes the efficiency of process automation. The result can be immediately reflected to the system since it performs real-time monitoring. UI (User Interface) provides managers with diagnosis of the current state in the process. The monitoring system has diverse functionalities to control the process according to the scenario written in advance, to stop the process efficiently and finally to increase production efficiency.

Process Development of Forming of One Body Fine Pitched S-Type Cantilever Probe in Recessed Trench for MEMS Probe Card (멤스 프로브 카드를 위한 깊은 트렌치 안에서 S 모양의 일체형 미세피치 외팔보 프로브 형성공정 개발)

  • Kim, Bong-Hwan
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.48 no.1
    • /
    • pp.1-6
    • /
    • 2011
  • We have developed the process of forming one body S-type cantilever probe in the recessed trench for fine-pitched MEMS probe card. The probe (cantilever beam and pyramid tip) was formed using Deep RIE etching and wet etching. The pyramid tip was formed by the wet etching using KOH and TMAH. The process of forming the curved probe was also developed by the wet etching. Therefore, the fabricated probe is applicable for the probe card for DRAM, Flash memory and RF devices tests and probe tip for IC test socket.

Enhanced cathode luminescence in $In_xGa_{1-x}N/In_yGa_{1-y}N$ green light emitting diode structure using two-dimensional photonic crystal (2차원 광자 결정을 이용한 $In_xGa_{1-x}N/In_yGa_{1-y}N$ 녹색 발광 다이오드의 음극선 발광 효율 증대)

  • Choi, E.S.;Nguyen, H.P.T.;Doan, H.M.;Kim, S.;Lim, H.;Lee, J.J.
    • Proceedings of the KIEE Conference
    • /
    • 2007.11a
    • /
    • pp.132-133
    • /
    • 2007
  • $In_xGa_{1-x}N/In_yGa_{1-y}N$ 다중 양자우물 녹색 발광 다이오드에 2차원 광자 결정을 이용하여 음극선 발광의 향상을 관찰 하였다. 정사각형 배열의 2차원 광자 결정의 주기와 격자 상수는 200/500 nm 이고 전자빔 리소그래피로 광자결정 패턴을 제작한 후, 플라즈마 건식 식각법으로 패턴을 구현하였다. 식각 시간의 차이를 둔 구현된 패턴의 홀 깊이는, 각각 ${\sim}69nm,\;{\sim}99nm,\;{\sim}173nm$ 이었다. 전계 방사 주사 현미경 측정 결과, 형성된 홀은 끝이 잘린 역전된 원뿔 모양으로 식각 되었다. 식각 된 홀의 깊이에 따라 광자 결정이 있는 부분이 없는 부분보다 최대 ${\sim}30$배 많은 광자가 검출 됨을 확인하였다.

  • PDF

A Study on the Ohmic Contacts and Etching Processes for the Fabrication of GaSb-based p-channel HEMT on Si Substrate (Si 기판 GaSb 기반 p-채널 HEMT 제작을 위한 오믹 접촉 및 식각 공정에 관한 연구)

  • Yoon, Dae-Keun;Yun, Jong-Won;Ko, Kwang-Man;Oh, Jae-Eung;Rieh, Jae-Sung
    • Journal of IKEEE
    • /
    • v.13 no.4
    • /
    • pp.23-27
    • /
    • 2009
  • Ohmic contact formation and etching processes for the fabrication of MBE (molecular beam epitaxy) grown GaSb-based p-channel HEMT devices on Si substrate have been studied. Firstly, mesa etching process was established for device isolation, based on both HF-based wet etching and ICP-based dry etching. Ohmic contact process for the source and drain formation was also studied based on Ge/Au/Ni/Au metal stack, which resulted in a contact resistance as low as $0.683\;{\Omega}mm$ with RTA at $320^{\circ}C$ for 60s. Finally, for gate formation of HEMT device, gate recess process was studied based on AZ300 developer and citric acid-based wet etching, in which the latter turned out to have high etching selectivity between GaSb and AlGaSb layers that were used as the cap and the barrier of the device, respectively.

  • PDF