DOI QR코드

DOI QR Code

Investigation on the Effect of Corrosion Inhibitor on Removal Rate and Surface Characteristic of Cobalt Chemical Mechanical Polishing

부식 방지제에 따른 코발트의 화학 기계적 연마 특성 및 표면 분석

  • Eun Su Jung (School of Integrative Engineering, Chung-Ang University) ;
  • Sung Gyu Pyo (School of Integrative Engineering, Chung-Ang University)
  • 정은수 (중앙대학교 융합공학부) ;
  • 표성규 (중앙대학교 융합공학부)
  • Received : 2024.04.16
  • Accepted : 2024.04.19
  • Published : 2024.06.30

Abstract

As the trend towards miniaturization in semiconductor integration process, the limitations of interconnection metals such as copper, tungsten have become apparent, prompting research into the emergence of new materials like cobalt and emphasizing the importance of studying the corresponding process conditions. During the chemical mechanical polishing (CMP) process, corrosion inhibitors are added to the slurry, forming passivation layers on the cobalt surface, thereby playing a crucial role in controlling the dissolution rate of the metal surface, enhancing both removal rate and selectivity. This review investigates the understanding of the cobalt polishing process and examines the characteristics and behavior of corrosion inhibitors, a type of slurry additive, on the cobalt surface. Among the corrosion inhibitors examined, benzotriazole (BTA), 1,2,4-triazole (TAZ), and potassium oleate (PO) all improved surface characteristics through their interaction with cobalt. These findings provide important guidelines for selecting corrosion inhibitors to optimize CMP processes for cobalt-based semiconductor materials. Future research should explore combinations of various corrosion inhibitors and the development of new compounds to further enhance the efficiency of semiconductor processes.

Keywords

References

  1. D. Josell, S. H. Brongersma, Z. Tokei, Size-dependent resistivity in nanoscale interconnects, Annual Review of Materials Research, 39 (2009) 231-254.
  2. M. Wislicenus, R. Liske, L. Gerlich, B. Vasilev, A. Preusse, Cobalt advanced barrier metallization: A resistivity composition analysis, Microelectronic Engineering, 137 (2015) 11-15.
  3. H.K. Jung, H.B. Lee, M. Tsukasa, E. Jung, J.H. Yun, J.M. Lee, G.H. Choi, S. Choi, C. Chung, Formation of highly reliable Cu/ low-k interconnects by using CVD Co barrier in dual damascene structures, 2011 International Reliability Physics Symposium, IEEE, (2011) 3E. 2.1-3E. 2.5.
  4. A. Pacco, Y. Akanishi, Q.T. Le, E. Kesters, G. Murdoch, F. Holsteyns, Controlled cobalt recess for advanced interconnect metallization, Microelectronic Engineering, 217 (2019) 111131.
  5. D. Choi, Potential of ruthenium and cobalt as next-generation semiconductor interconnects, Korean Journal of metals and materials, 56 (2018) 605-610.
  6. F. Griggio, J. Palmer, F. Pan, N. Toledo, A. Schmitz, I. Tsameret, R. Kasim, G. Leatherman, J. Hicks, A. Madhavan, Reliability of dual-damascence local interconnects featuring cobalt on 10nm logic technology, 2018 IEEE International Reliability Physics Symposium (IRPS), IEEE, (2018) 6E. 3-1 - 6E. 3-5.
  7. V. Kamineni, M. Raymond, S. Siddiqui, F. Mont, S. Tsai, C. Niu, A. Labonte, C. Labelle, S. Fan, B. Peethala, Tungsten and cobalt metallization: a material study for MOL local interconnects, IEEE International Interconnect Technology Conference/Advanced Metallization Conference(IITC, AMC), IEEE International Interconnect Technology Conference IITC, IEEE, (2016) 105.
  8. D. Gall, Electron mean free path in elemental metals, Journal of applied physics, 119 (2016) 085101
  9. Z. Han, L. Qiong, F. Chengang, R.X. Song, H.J. Yan, T.Y. Ling, Volcano defect prevention in tungsten contact formation for embedded non-volatile memory, 2019 Electron Devices Technology and Manufacturing Conference (EDTM), IEEE, (2019) 41-43.
  10. S. Xu, P. Yao, J. Zhang, R. Huang, The filling seams improvement and properties analyses of tungsten films, Microelectronic Engineering, 226 (2020) 111285.
  11. C. Wu, J.H. Han, X. Shi, D.R. Koli, D. Penigalapati, Cobalt CMP development for 7nm logic device, ECS Transactions, 77 (2017) 93.
  12. M.A. Rigsby, L.J. Brogan, N.V. Doubina, Y. Liu, E.C. Opocensky, T.A. Spurlin, J. Zhou, J.D. Reid, The critical role of pH gradient formation in driving superconformal cobalt deposition, Journal of the Electrochemical Society, 166 (2019) D3167.
  13. Z.W. Zhong, Recent developments and applications of chemical mechanical polishing, The International Journal of Advanced Manufacturing Technology, 109 (2020) 1419-1430.
  14. D.Y. Cho, A study on effects of potassium persulfate oxidizer for cobalt plug chemical mechanical polishing, Thesis of MS, Hanyang University (2018).
  15. R. Popuri, H. Amanapu, C. Ranaweera, N. Baradanahalli, S. Babu, Potassium oleate as a dissolution and corrosion chemical vapor deposited Co films for interconnect applications, ECS Journal of Solid State Science and Technology, 6 (2017) 845.
  16. T. Ma, B. Tan, Y. Xu, D. Yin, G. Liu, N. Zeng, G. Song, Z. Kao, Y. Liu, Corrosion control of copper wiring by barrier CMP slurry containing azole inhibitor : combination of simulation and experiment, Colloids and Surface A: Physicochemical and Engineering Aspects, 599 (2020) 124872.
  17. P. He, B. Wu, S. Shao, T. Teng, P. Wang, X.P. Qu, Characterization of 1,2,4-triazole as corrosion inhibitor for chemical mechanical polishing of cobalt in H2O2 based acid slurry, ECS Journal of Solid State Science and Technology, 8 (2019) 3075.
  18. J. Seo, A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization, Journal of Materials Research, 36 (2021) 235-257.
  19. D. Gallant, M. Pezolet, S. Simard, Inhibition of cobalt active dissolution by benzotriazole in slightly alkaline bicarbonate aqueous media, Electrochimica Acta, 52 (2007) 4927-4941.
  20. C. Yan, Y. Liu, J. Zhang, C. Wang, W. Zhang, P. He, G. Pan, Synergistic effect of glycine and BTA on step height reduction efficiency after copper CMP in weakly alkaline slurry, ECS Journal of Solid State Science and Technology, 6 (2016) P1.
  21. H.Y. Ryu, C.H. Lee, S.U. Lee, S. Hamada, N.P. Yerriboina, J.G. Park, Theoretical validation of inhibition mechanisms of benzotriazole with copper and cobalt for CMP and post-CMP cleaning applications, Microelectronic Engineering, 262 (2022) 111833.
  22. Y. Zhang, L. Wagner, P. Golbutsov, Importance of wafer flatness for CMP and lithography, Metrology, Inspection, and Process Control for Microlithography XI, (1997) 266-269.
  23. K. Min, S. Kang, T. Kim, Study on effect of back-surface treatment of silicon wafer in photo lithography process after CMP process, 2015 International Conference on Planarization/CMP Technology (ICPT), IEEE, (2015) 1-3.
  24. W.L. Chiu, C.I. Huang, Polymer nanoparticles applied in the CMP (chemical mechanical polishing) process of chip wafers for defect improvement and polishing removal rate response, Polymers, 15 (2023) 3198.
  25. D. Lee, H. Lee, H. Jeong, Slurry components in metal chemical mechanical planarization (CMP) process: a review, International Journal of Precision Engineering and Manufacturing, 17 (2016) 1751-1762.
  26. H. Lee, D. Lee, H. Jeong, Mechanical aspects of the chemical mechanical polishing process: a review, International Journal of Precision Engineering and Manufacturing, 17 (2016) 525-536.
  27. Q. Xu, L. Chen, F. Yang, H. Cao, Influence of slurry components on copper CMP performance in alkaline slurry, Microelectronic Engineering, 183 (2017) 1-11.
  28. L.T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, G. Yeric, ASAP7: A 7-nm finFET predictive process design kit, Microelectronics Journal, 53 (2016) 105-115.
  29. K. Tanwar, D. Canaperi, M. Lofaro, W.T. Tseng, R. Patlolla, C. Penny, C. Waskiewicz, BEOL Cu CMP process evaluation for advanced technology nodes, Journal of the Electrochemical Society, 160 (2013) D3247.
  30. M.Y. Tsai, Polycrystalline diamond shaving conditioner for CMP pad conditioning, Journal of materials processing technology, 210 (2010) 1095-1102.
  31. F. Preston, The theory and design of plate glass polishing machines, J. Society of glass Tech., 11 (1927) 214.
  32. Kwon, T.Y., Ramachandran, M., J.G. Park, Scratch formation and its mechanism in chemical planarization (CMP), Friction, 1 (2013) 279-305.
  33. Li, Y., Sun, M., Niu, X., Liu, Y., He, Y., Li, H., Wang, A., Li, H., Removal of residual CuO particles on the post CMP wafer surface of multi-layered copper, Journal of Semiconductors, 35(4) (2014) 046001.
  34. M.R. Oliver, Chemical-mechanical planarization of semiconductor materials, Springer Science & Business Media, 69 (2004)
  35. Y.N. Prasad, T.Y. Kwon, I.K. Kim, I.G. Kim, J.G. Park, Generation of pad debris during oxide CMP process and its role in scratch formation, Journal of the Electrochemical Society, 158 (2011) H394.
  36. E.E. Remsen, S. Anjur, D. Boldridge, M. Kamiti, S. Li, T. Johns, C. Dowell, J. Kasthurirangan, P. Feeney, Analysis of large particle count in fumed silica slurries and its correlation with scratch defects generated by CMP, Journal of the Electrochemical Society, 153 (2006) G453.
  37. M. Keswani, Z. Han, Post-CMP cleaning, developments in surface contamination and cleaning, William Andrew Publishing (2015) 145-183.
  38. C.L. Elmufdi, G.P. Muldowney, The impact of diamond conditioning on surface contact in CMP pads, MRS Online Proceedings Library (OPL), 991 (2007) 0091-C01.
  39. J. Seo, S.H. Vegi, S. Babu, Post-CMP cleaning solutions for the removal of organic contaminants with reduced galvanic corrosion at copper/cobalt interface for advanced Cu interconnect applications, ECS Journal of Solid State Science and Technology, 8 (2019) 379-387.
  40. H.Y. Ryu, C.H. Lee, S.U. Lee, S. Hamada, N. Yerriboina, J.G. Park, Theoretical validation of inhibition mechanisms of benzotriazole with copper and cobalt for CMP and post-CMP cleaning applications, Microelectronic Engineering, 262 (2022) 111833.
  41. D. Lee, H. Lee, H. Jeong, Slurry components in metal chemical mechanical planarization (CMP) process: a review, International Journal of Precision Engineering and Manufacturing, 17 (2016) 1751-1762.
  42. G. Banerjee, R.L. Rhoades, Chemical mechanical planarization historical review and future direction, ECS Transactions, 13 (2008) 1-19.
  43. G. Tansung, T. Tuken, E. Giray, G. Findikkiran, G. Sigircik, O. Demirkol, M. Erbil, , A new corrosion inhibitor for copper protection, Corrosion Science, 84 (2014) 21-29.
  44. H. Lei, J. Luo, CMP of hard disk substrate using a colloidal SiO2 slurry: preliminary experimental investigation, Wear, 257 (2004) 461-470.
  45. R. Ihnfeldt, J.B. Talbot, The effects of copper CMP slurry chemistry on the colloidal behavior of alumina abrasives, Journal of the Electrochemical Society, 153 (2006) G948.
  46. J. Luo, D.A. Dornfeld, Effects of abrasive size distribution in chemical mechanical planarization: modeling and verification, IEEE Transactions on Semiconductor Manufacturing, 16 (2003) 469-476.
  47. G.B. Basim, I.U. Vakarelski, B.M. Moudgil, Role of interaction forces in controlling the stability and polishing performance of CMP slurries, Journal of colloid and interface science, 263 (2003) 506-515.
  48. M.K. Poddar, P. Jalalzai, S. Sahir, N.P. Yerriboina, T.G. Kim, Tungsten passivation layer (WO3) formation mechanisms during chemical mechanical planarization in the presence of oxidizers, Applied Surface Science, 537 (2021) 147862.
  49. T. Du, A. Vijayakumar, V. Desai, Effect of hydrogen peroxide on oxidation of copper in CMP slurries containing glycine and Cu ions, Electrochimica Acta, 49 (2004) 4505-4512.
  50. A. Xu, D. Feng, W. Wang, W. Liu, Z. Song, High removal rate cobalt slurry with glutathione on chemical mechanical polishing in alkaline slurry, ECS Journal of Solid State Science and Technology, 9 (2020) 084001.
  51. X. Rui, W. Yongsheng, W. Yipu, L. Haixu, S. Jianxiu, Study on oxidant in chemical mechanical polishing of copper, Transactions on Electrical and Electronic Materials, 21 (2020) 580-586.
  52. W.X. Yan, L.Y. Wang, Z.F. Zhang, W.L. Liu, Z.T. Song, Iron trichloride as oxidizer in acid slurry for chemical mechanical polishing of Ge2Sb2Te5, Chinese Physics B, 23 (2014) 048301.
  53. H. Yan, X. Niu, M. Qu, F. Luo, N. Zhan, J. Liu, Y. Zou, A review: research progress of chemical-mechanical polishing slurry for copper interconnection of integrated circuits, The International Journal of Advanced Manufacturing Technology, 125 (2023) 47-71.
  54. He, Y.G., Wang, J.X., Gan, X.W., Li, W.J., Liu, Y.L., Effect of complex agent on copper dissolution in alkaline slurry for chemical mechanical planarization, Advanced Materials Research, 455 (2012) 1145-1148.
  55. O. Kwon, K. Bae, J. Byun, T. Lim, J.J. Kim, Study on effect of complexing agents on Co oxidation/dissolution for chemical-mechanical polishing and cleaning process, Microelectronic Engineering, 227 (2020) 111308.
  56. X. Luan, J. Cheng, Y. Liu, C. Wang, Effect of complexing agent choices on dishing control level and the shelf life in copper CMP slurry, ECS Journal of Solid State Science and Technology, 7 (2018) 391-396.
  57. J. Zhou, X. Niu, T. Zhang, H. Wang, C. Yang, Y. Zhang, W. Wang, Z. Wang, Y. Zhu, Z. Hou, Prediction of planarization property in copper film chemical mechanical polishing via response surface methodology and convolutional neural network, Nano Select, 3 (2022) 688-702.
  58. J. Byun, K. Bae, O. Kwon, K.K. Myong, T. Lim, J.J. Kim, Effect of complexing agents on surface composition for Co post-CMP cleaning process, ECS Journal of Solid State Science and Technology, 10 (2021) 130668.
  59. W. Zhang, Y. Liu, C. Wang, X. Niu, J. Ji, Y. Du, L. Han, Role of 1, 2, 4-triazole in Co/Cu removal rate selectivity and galvanic corrosion during barrier CMP, ECS Journal of Solid State Science and Technology, 6 (2017) 786-793.
  60. Y. Mu, M. Zhong, K.J. Rushing, Y. Li, D.A. Shipp, Benzotriazole as a passivating agent during chemical mechanical planarization of Ni-P alloy substrates, Applied surface science, 315 (2014) 190-195.
  61. I.K. Kim, Y.J. Kang, Y.K. Hong, J.G. Park, Effect of corrosion inhibitor (BTA) in citric acid based slurry on Cu CMP, MRS Online Proceedings Library (OPL), 867 (2005) 1-3.
  62. K. Cheemalapati, J. Keleher, Y. Li, Key chemical components in metal CMP slurries, Microelectronic Applications of Chemical Mechanical Planarization, Wiley Interscience, (2007) 201-248.
  63. G. Xue, J. Ding, P. Lu, J. Dong, SERS, XPS, and electroanalytical studies of the chemisorption of benzotriazole on a freshly etched surface and an oxidized surface of copper, The Journal of Physical Chemistry, 95 (1991) 7380-7384.
  64. Y. Miao, S. Wang, C. Wang, Y. Liu, M. Sun, Y. Chen, Effect of chelating agent on benzotriazole removal during post copper chemical mechanical polishing cleaning, Microelectronic Engineering, 130 (2014) 18-23.
  65. X. Luan, Y. Liu, B. Zhang, S. Wang, X. Niu, C. Wang, J. Wang, Investigation of the barrier slurry with better defect performance and facilitating post-CMP cleaning, Microelectronic Engineering, 170 (2017) 21-28.
  66. Q. Wang, B. Tan, B. Gao, S. Tian, C. Han, L. Yang, Study on the adsorption and inhibition mechanism of 1, 2, 4-triazole on copper surface in copper interconnection CMP, ECS Journal of Solid State Science and Technology, 8 (2019) 313-318.
  67. L. Jiang, Y. Lan, Y. He, Y. Li, Y. Li, J. Luo, 1, 2, 4-Triazole as a corrosion inhibitor in copper chemical mechanical polishing, Thin Solid Films, 556 (2014) 395-404.
  68. W. Li, B. Tan, S. Zhang, L. Guo, J. Ji, M. Yan, R. Wang, Insights into triazole derivatives as potential corrosion inhibitors in CMP process: experimental evaluation and theoretical analysis, Applied Surface Science, 602 (2022) 154165.
  69. M. Zhong, S.S. Venkataraman, Y. Lan, Y. Li, D.A. Shipp, Role of 1, 2, 4-triazole as a passivating agent for cobalt during post-chemical mechanical planarization cleaning, Journal of The Electrochemical Society, 161 (2014) 138-144.
  70. S.R. Alety, U.R. Lagudu, R. Popuri, R. Patlolla, C.V. Surisetty, S. Babu, Cleaning solutions for ultrathin Co barriers for advanced technology nodes, ECS Journal of Solid State Science and Technology, 6 (2017) 671-680.
  71. D. Yin, Q. Wang, S. Zhang, B. Tan, F. Yang, R. Wang, X. Sun, M. Liu, Effect of EDTA-based alkaline cleaning solution on TAZ removal in post CMP cleaning of copper interconnection, Materials Research Bulletin, 137 (2021) 111202.
  72. R. Popuri, H. Amanapu, C. Ranaweera, N. Baradanahalli, S. Babu, Potassium oleate as a dissolution and corrosion inhibitor during chemical mechanical planarization of chemical vapor deposited Co films for interconnect applications, ECS Journal of Solid State Science and Technology, 6 (2017) 845-852.
  73. C. Ranaweera, N. Baradanahalli, R. Popuri, J. Seo, S. Babu, Ammonium persulfate and potassium oleate containing silica dispersions for chemical mechanical polishing for cobalt interconnect applications, ECS Journal of Solid State Science and Technology, 8 (2018) 3001-3008.
  74. L. Hu, G. Pan, H. Wang, Y. Xu, R. Wang, The synergistic inhibitory effect and density functional theory study of 2, 2'-[[(Methyl-1H-benzotriazol-1-yl) methyl] imino] bisethanol and potassium oleate on copper in H2O2 based alkaline slurries, Colloids and Surfaces A: Physicochemical and Engineering Aspects, 603 (2020) 125275.
  75. A.S. Nuraya, A. Baharin, A. Azura, Effect of potassium oleate (PO) on the colloid stability of high ammonia (HA) natural rubber latex (NRL) after the freezing and thawing processes, Journal of Rubber Research, 22 (2019) 13-21.
  76. X. Sun, T. Ma, D. Yin, B. Tan, F. Yang, M. Liu, P. Gao, S. Zhang, Y. Wang, Y. He, Adsorption mechanism of potassium oleate on cobalt surface based on cobalt interconnection CMP: a combined experimental and DFT investigation, ECS Journal of Solid State Science and Technology, 10 (2021) 024003.
  77. Y. Zhang, L. Jiang, W. Li, L. Qian, Competitive effect between corrosion inhibitors in copper chemical mechanical polishing, Materials Science in Semiconductor Processing, 161 (2023) 107470.
  78. H.Y. Ryu, B.J. Cho, N.P. Yerriboina, C.H. Lee, J.K. Hwang, S. Hamada, Y. Wada, H. Hiyama, J.G. Park, Selection and optimization of corrosion inhibitors for improved Cu CMP and post-Cu CMP cleaning, ECS Journal of Solid State Science and Technology, 8 (2019) 3058-3062.
  79. J. Jing, Z. Ma, P. Li, C. Lu, P. Lin, J. Zhang, X. Cai, Study of inhibition effects on copper CMP slurry performance, ECS Transactions, 34 (2011) 711-718.
  80. P. He, B. Wu, S. Shao, T. Teng, P. Wang, X.P. Qu, Characterization of 1,2,4-triazole as corrosion inhibitor for chemical mechanical polishing of cobalt in H2O2 based acid slurry, ECS Journal of Solid State Science and Technology, 8 (2019) 3075-3084.
  81. S. Joo, H. Lee, H. Jeong, Analysis of Cu CMP according to the variation of corrosion inhibitor concentration,The Journal of the Korean Institute of Electrical and Electronic Material Engineers, 27 (2008) 121-124.