DOI QR코드

DOI QR Code

A review : atomic layer etching of metals

  • Yun Jong Jang (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Hong Seong Gil (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Gyoung Chan Kim (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Ju Young Kim (Department of Photovoltaic System Engineering, Sungkyunkwan University) ;
  • Chang Woo Park (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Do Seong Pyun (Department of Semiconductor Display Engineering, Sungkyunkwan University) ;
  • Ji Yeon Lee (Department of Semiconductor Display Engineering, Sungkyunkwan University) ;
  • Geun Young Yeom (School of Advanced Materials Science and Engineering, Sungkyunkwan University)
  • Received : 2024.04.22
  • Accepted : 2024.04.23
  • Published : 2024.06.30

Abstract

As the limits of semiconductor integration are approached, the challenges in semiconductor processes have intensified. And, for the production of semiconductors with dimensions under a few nanometers and to resolve the issues related to nanoscale device fabrication, research on atomic layer etching (ALE) technology has been conducted. The investigation related to ALE encompasses not only silicon and dielectric materials but also metallic materials. Particularly, there is an increasing need for ALE in next-generation metal materials that could replace copper in interconnect materials. This brief review will summarize the concept and methods of ALE and describe recent studies on potential next-generation metal replacements for copper, along with their ALE processes.

Keywords

References

  1. S.M. Viswanathan, AI chips: new semiconductor era, International Journal of Advanced Research in Science, Engineering and Technology, 7 (2020) 14687-14694.
  2. N. Druml, G. Macher, M. Stolz, E. Armengaud, D. Watzenig, C. Steger, T. Herndl, A. Eckel, A. Ryabokon, A. Hoess, S. Kumar, G. Dimitrakopoulos, H. Roedig, Prystine-programmable systems for intelligence in automobiles, 2018 21st Euromicro Conference on Digital System Design (DSD), (2018) 618-626.
  3. S. Borkar, Designing reliable systems from unreliable components: the challenges of transistor variability and degradation, IEEE Micro, 25 (2005) 10-16.
  4. K.J. Kanarik, S. Tan, R.A. Gottscho, Atomic layer etching: rethinking the art of etch, The Journal of Physical Chemistry Letters, 9 (2018) 4814-4821.
  5. K.J. Kanarik, T. Lill, E.A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, R.A. Gottscho, Overview of atomic layer etching in the semiconductor industry, Journal of Vacuum Science & Technology A, 33 (2015) 020802.
  6. J.S. Clarke, C. George, C. Jezewski, A.M. Caro, D. Michalak, J. Torres, Process technology scaling in an increasingly interconnect dominated world, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, (2014) 1-2.
  7. M.R. Baklanov, C. Adelmann, L. Zhao, S.D. Gendt, Advanced interconnects: materials, processing, and reliability, ECS Journal of Solid State Science and Technology, 4 (2015) Y1-Y4.
  8. C. Adelmann, K. Sankaran, S. Dutta, A. Gupta, S. Kundu, G. Jamieson, K. Moors, N. Pinna, I. Ciofi, S.V. Eishocht, J. Bommels, G. Boccardi, C.J. Wilson, G. Pourtois, Z. Tokei, Alternative metals: from ab initio screening to calibrated narrow line models, 2018 IEEE International Interconnect Technology Conference (IITC), (2018) 154-156.
  9. Y. Gong, R. Akolkar, Electrochemical atomic layer etching of ruthenium, Journal of The Electrochemical Society, 167 (2020) 062510.
  10. C. Adelmann, L.G. Wen, A.P. Peter, Y.K. Siew, K. Croes, J. Swerts, M. Popovici, K. Sankaran, G. Pourtois, S.V. Elshocht, J. Bommels, Z. Tokei, Alternative metals for advanced interconnects, IEEE International Interconnect Technology Conference, (2014) 173-176.
  11. L.G. Wen, C. Adelmann, O.V. Pedreira, S. Dutta, M. Popovici, B. Briggs, N. Heylen, C.J. Wilson, S.V. Elshocht, K. Croes, J. Bommels, Z. Tokei, Ruthenium metallization for advanced interconnects, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC), (2016) 34-36.
  12. C. Huffman, D.W. Hess, J.-F. de Marneffe, M. Sekine, S. De Gendt, Preface to the focus issue on atomic layer etch and clean, ECS Journal of Solid State Science and Technology, 4 (2015) Y7.
  13. G.S. Oehrlein, D. Metzler, C. Li, Atomic layer etching at the tipping point: an overview, ECS Journal of Solid State Science and Technology, 4 (2015) N5041.
  14. Y. Gong, K. Venkatraman, R. Akolkar, Communication-electrochemical atomic layer etching of copper, Journal of The Electrochemical Society, 165 (2018) D282.
  15. Y. Gong, R. Akolkar, Thermodynamic considerations in the design of electrochemical atomic layer etching of copper, Journal of The Electrochemical Society, 168 (2021) 062503.
  16. Y.Y. Kim, W.S. Lim, J.B. Park, G.Y. Yeom, Layer by layer etching of the highly oriented pyrolythic graphite by using atomic layer etching, Journal of The Electrochemical Society, 158 (2011) D710.
  17. S.K. Natarajan, M. Nolan, P. Theofanis, C. Mokhtarzadeh, S.B. Clendenning, Mechanism of thermal atomic layer etch of W metal using sequential oxidation and chlorination: a first-principles study, ACS Applied Materials & Interfaces, 12 (2020) 36670-36680.
  18. K.S. Kim, K.H. Kim, Y.S. Nam, J.H. Jeon, S.M. Yim, E. Singh, J.Y. Lee, S.J. Lee, Y.S. Jung, G.Y. Yeom, Atomic layer etching mechanism of MoS2 for nanodevices, ACS Applied Materials & Interfaces, 9 (2017) 11967-11976.
  19. K.J. Kanarik, S. Tan, W. Yang, T.S. Kim, T. Lill, A. Kabansky, E.A. Hudson, T. Ohba, K. Nojiri, J. Yu, R. Wise, I.L. Berry, Y. Pan, J. Marks, R.A. Gottscho, Predicting synergy in atomic layer etching, Journal of Vacuum Science & Technology A, 35 (2017) 05C302.
  20. Y.H. Lee, S.M. George, Thermal atomic layer etching of titanium nitride using sequential, self-limiting reactions: oxidation to TiO2 and fluorination to volatile TiF4, Chemistry of Materials, 29 (2017) 8202-8210.
  21. M. Konh, C. He, X. Lin, X. Guo, V. Pallem, R.L. Opila, A.V. Teplyakov, Z. Wang, B. Yuan, Molecular mechanisms of atomic layer etching of cobalt with sequential exposure to molecular chlorine and diketones, Journal of Vacuum Science & Technology A, 37 (2019) 021004.
  22. S. Fujisaki, Y. Yamaguchi, H. Kobayashi, K. Shinoda, M. Yamada, H. Hamamura, K. Kawamura, M. Izawa, Thermal-cyclic atomic layer etching of cobalt with smooth etched surface by plasma oxidation and organometallization, Applied Physics Letters, 121 (2022) 122102.
  23. Z. Wang, R.L. Opila, In operando x-ray photoelectron spectroscopy study of mechanism of atomic layer etching of cobalt, Journal of Vacuum Science & Technology A, 38 (2020) 022611.
  24. S.K. Natarajan, M. Nolan, P. Theofanis, C. Mokhtarzadeh, S.B. Clendenning, In silico design of a thermal atomic layer etch process of cobalt, Journal of Vacuum Science & Technology A, 39 (2021) 022603.
  25. J.A. Murdzek, A.L. Rosales, S.M. George, Thermal atomic layer etching of cobalt using sulfuryl chloride for chlorination and tetramethylethylenediamine or trimethylphosphine for ligand addition, Journal of Vacuum Science & Technology A, 41 (2023) 032603.
  26. J. Zhao, M. Konh, A. Teplyakov, Surface chemistry of thermal dry etching of cobalt thin films using hexafluoroacetylacetone (hfacH), Applied Surface Science, 455 (2018) 438-445.
  27. Y.J. Kim, S.M. Chae, H.J. Ha, H.W. Lee, S.H. Lee, H.Y. Chae, Thermal atomic layer etching of cobalt using plasma chlorination and chelation with hexafluoroacetylacetone, Applied Surface Science, 619 (2023) 156751.
  28. Y. Gong, R. Akolkar, Electrochemical atomic layer etching of ruthenium, Journal of The Electrochemical Society, 167 (2020) 062510.
  29. Y.J. Kim, H.J. Kang, H.J. Ha, M.S. Choi, M.S. Jeon, S.M. Cho, H.Y. Chae, Plasma atomic layer etching of ruthenium with surface fluorination and ion bombardment, Plasma Processes and Polymers, 21 (2024) 2300161.
  30. B.M. Coffey, H.C. Nallan, J.G. Ekerdt, Vacuum ultraviolet enhanced atomic layer etching of ruthenium films, Journal of Vacuum Science & Technology A, 39 (2020) 012601.
  31. D.S. Kim, H.I. Kwon, Y.J. Jang, H.S. Gil, D.W. Kim, G.C. Kim, G.Y. Yeom, Comparison of ruthenium ALE based on ICP and ion beam, AVS 23rd International Conference on Atomic Layer Deposition (ALD 2023).
  32. T.W. Nam, T.A. Colleran, J.L. Partridge, A.S. Cavanagh, S.M. George, Thermal atomic layer etching of molybdenum using sequential oxidation and deoxychlorination reactions, Chemistry of Materials, 36 (2024) 1449-1458.
  33. Y.J. Kim, H.J. Kang, H.J. Ha, C.K. Kim, S.M. Cho, H.Y. Chae, Plasma atomic layer etching of molybdenum with surface fluorination, Applied Surface Science, 627 (2023) 157309.
  34. Y.B. Lee, Y.J. Kim, J.W. Son, H.Y. Chae, Low-temperature plasma atomic layer etching of molybdenum via sequential oxidation and chlorination, Journal of Vacuum Science & Technology A, 40 (2022) 022602.
  35. A. Fischer, L.A. Routzahn, B.T. Lii, S. Varadarajan, Atomic layer etching of molybdenum, United States Patent US, US2021/020454, 02 Mar 2021.
  36. Z. Fang, Y. Li, Y. Luo, X. Zhang, Y. Wang, D. Han, Exploration of new self-limiting atomic layer etching methods for molybdenum, 2023 24th International Vacuum Electronics Conference (IVEC), (2023) 1-2.
  37. Y.J. Jang, D.S. Kim, H.I. Kwon, H.S. Gil, G.C. Kim, D.W. Kim, G.Y. Yeom, Anisotropic atomic layer etching of molybdenum by formation of chloride/ oxychloride, AVS 23rd International Conference on Atomic Layer Deposition (ALD 2023).
  38. S.M. George, Mechanisms of thermal atomic layer etching, Accounts of Chemical Research, 53 (2020) 1151-1160.
  39. G.S. Oehrlein, D. Metzler, C. Li, Atomic layer etching at the tipping point: an overview, ECS Journal of Solid State Science and Technology, 4 (2015) N5041.
  40. Z. Tokei, V. Vega, G. Murdoch, M. O'Toole, K. Croes, R. Baert, M.V.D. Veen, C. Adelmann, J.P. Soulie, J. Boemmels, C. Wilson, S.H. Park, K. Sankaran, J. Sweerts, S. Paolillo, S. Decoster, M. Mao, F. Lazzarino, J. Versluijs, V. Blanco, M. Ercken, E. Kesters, Q.T. Le, F. Holsteyns, N. Heylen, L. Teugels, K. Devriendt, H. Struyf, P. Morin, N. Jourdan, S.V. Elshocht, I. Ciofi, A. Gupta, H. Zahedmanesh, K. Vanstreels, M.H. Na, Inflection points in interconnect research and trends for 2nm and beyond in order to solve the RC bottleneck, 2020 IEEE International Electron Devices Meeting (IEDM), (2020) 32.2.1-32.2.4.
  41. D. Gall, The search for the most conductive metal for narrow interconnect lines, Journal of Applied Physics, 127 (2020) 050901.
  42. D. Gall, Electron mean free path in elemental metals, Journal of Applied Physics, 119 (2016) 085101.
  43. H. Ohmi, J. Sato, Y. Shirasu, T. Hirano, H. Kakiuchi, K. Yasutake, Significant improvement of copper dry etching property of a high-pressure hydrogen-based plasma by nitrogen gas addition, ACS Omega, 4 (2019) 4360-4366.
  44. B. Li, T.D. Sullivan, T.C. Lee, D. Badami, Reliability challenges for copper interconnects, Microelectronics Reliability, 44 (2004) 365-380.
  45. S. Decoster, E. Camerotto, G. Murdoch, S. Kundu, Q.T. Le, Z. Tokei, G. Jurczak, F. Lazzarino, Patterning challenges for direct metal etch of ruthenium and molybdenum at 32nm metal pitch and below, Journal of Vacuum Science & Technology B, 40 (2022) 032802.
  46. M.E. Mcbriarty, Oxidation influences etch quality in the low-T thermal ALE of Cu, AVS 21st International Conference on Atomic Layer Deposition (ALD 2021).