DOI QR코드

DOI QR Code

Way-set Associative Management for Low Power Hybrid L2 Cache Memory

고성능 저전력 하이브리드 L2 캐시 메모리를 위한 연관사상 집합 관리

  • Received : 2018.05.07
  • Accepted : 2018.05.28
  • Published : 2018.06.30

Abstract

STT-RAM is attracting as a next generation Non-volatile memory for replacing cache memory with low leakage energy, high integration and memory access performance similar to SRAM. However, there is problem of write operations as the other Non_volatile memory. Hybrid cache memory using SRAM and STT-RAM is attracting attention as a cache memory structure with lowe power consumption. Despite this, reducing the leakage energy consumption by the STT-RAM is still lacking access to the Dynamic energy. In this paper, we proposed as energy management method such as a way-selection approach for hybrid L2 cache fo SRAM and STT-RAM and memory selection method of write/read operation. According to the simulation results, the proposed hybrid cache memory reduced the average energy consumption by 40% on SPEC CPU 2006, compared with SRAM cache memory.

Keywords

References

  1. T.J. Pack, and W.Y. Jang, "Large-Scale Last-Level Cache Design Based on Parallel TLC STT-MRAM," Jounal of Korean Institute of Information Technology, Vol. 15, No. 12, pp. 77-89, 2017 (in Korean).
  2. N.S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. Hu, V. Narayanan, "Leakage Current: Moore's Law Meets Static Power," IEEE Computer Society, Vol. 36, No. 12, pp. 68-75, 2003.
  3. Y. Meng, T. Sherwood, R. Kastner, "On the Limits of Leakage Power Reduction in Caches," in Proceedings of High-Performance Computer Archutecture, pp. 154-165, 2005.
  4. ITRS: International Technology Roadmap for Semiconductor, 2008 report, Avaliable: http://www.irts.net/link/2008ITRS/home2008.htm
  5. K. Flautner, N.S. Kim, S. Martin, D. Blaauw, T. Mudge, "Drowsy Cache: Simple Techniques for Reducing Leakage Power," Proceedings of Computer Archtecture, pp. 148-157, 2002.
  6. Z. Hu, S. Kaxiras, M. Martonosi. "Let Cache Decay: Reducing Leakage Energy via Exploitationi of Cache Generational Behavior," ACM Transactions on Computer Sytems, Vol. 20, No. 2, pp. 161-190, 2002. https://doi.org/10.1145/507052.507055
  7. J. Kin, M. Gupta, W. Mangione, "The Filter Cache: An Energy Efficient Memory Structure," Proceedings in ACM/IEEE international symposium on Microarchitecture, pp. 184-193, 1997.
  8. B.S. Jung, J.H. Lee, "Effective Algorithm for the Low-Power set-Associative Cache Memory," IEMEK J. Embed. Sys. Appl., Vol. 9, No. 1, pp. 25-31, 2014 (in Korean). https://doi.org/10.14372/IEMEK.2014.9.1.25
  9. P.M. Palangappa, J. Li, K. Mohanram, "WOM-Code Solutions for Low Latency and High Endurance in Phase Change Memory," IEEE Transactions on Computers, Vol. 64, No. 4, pp. 1028-1040, 2016.
  10. J.W. Ahn, S. Yoo, K. Choi, "Prediction Hybrid Cache: An Energy-Efficient STT-RAM Cache Architecture," IEEE Transactions on Computers, Vol. 64, No. 3, pp. 940-951, 2015.
  11. S.P. Pack, S. Gupta, N. Mojumder, K. Roy, "Future Cache Design Using STT-RAMs for Improved Energy Efficiency: Devices, Circuits and Architecture," Proceedings of Design Automation, pp. 492-497, 2012.
  12. M. Imani, S. Patil, T. Rosing, "Low Power Data-Aware STT-RAM based Hybrid Cache Architecture," Proceedings of Quality Electronic Design, pp. 88-94, 2016.
  13. J. Li, C. Xue, Y. Xu, "STT-RAM Based Energy-Efficiency Hybrid Cache for CMPs," Proceedings of VLSI and System-on-Chip, pp.31-36, 2011.
  14. A. Jadidi, M. Arjomand, H. Sarbaxi-Axad, "High-Endurance and Performance-Efficient Design of Hybrid Cache Architectures Through Adaptive Line Replacement," Proceedings of Low-Power Electronics and Design, pp. 79-84, 2011.
  15. X. Wu, J. Li, L. Zhang, E. Speight., Y. Xie, "Power and Performance of Read-Write Aware Hybrid Caches With Non-Volatile Memories," Proceedings of Design, Automation and Test in Europe Conference and Exhibition, pp. 737-742, 2009.
  16. https://software.intel.com/sites/landingpage /pin tool/docs/81205/Pin/html/
  17. N. Muralimanohar, R,.Balasubramonian, N. P. Jouppi, "CACTI 6.0: A tool to model large caches," HP Laboratories, pp. 22-31, 2009.